Publications

Publications' RSS feed Publications' RSS Feed

2024

  • A 12nm Linux-SMP-Capable RISC-V SoC with 14 Accelerator Types, Distributed Hardware Power Management, and Flexible NoC-Based Data Orchestration.
    Maico Cassel Dos Santos, Tianyu Jia, Joseph Zuckerman, Martin Cochet, Davide Giri, Erik Jens Loscalzo, Karthik Swaminathan, Thierry Tambe, Jeff Jun Zhang, Alper Buyuktosunoglu, Kuan Lin Chiu, Giuseppe Di Guglielmo, Paolo Mantovani, Luca Piccolboni, Gabriele Tombesi, David Trilla Rodriguez, John-David Wellman, En-yu Yang, Aporva Amarnath, Ying Jing, Bakshree Mishra, Joshua Park, Vignesh Suresh, Sarita Adve, Pradip Bose, David Brooks, Luca Carloni, Kenneth Shepard, and Gu-Yeon Wei.
    Proceedings of the IEEE International Conference on Solid-State Circuits (ISSCC), 2024.
    BibTEX 
    @article{dossantos_isscc24,
      author = {Cassel Dos Santos, Maico and Jia, Tianyu and Zuckerman, Joseph and Cochet, Martin and Giri, Davide and Jens Loscalzo, Erik and Swaminathan, Karthik and Tambe, Thierry and Jun Zhang, Jeff and Buyuktosunoglu, Alper and Chiu, Kuan Lin and Di Guglielmo, Giuseppe and Mantovani, Paolo and Piccolboni, Luca and Tombesi, Gabriele and Rodriguez, David Trilla and Wellman, John-David and Yang, En-yu and Amarnath, Aporva and Jing, Ying and Mishra, Bakshree and Park, Joshua and Suresh, Vignesh and Adve, Sarita and Bose, Pradip and Brooks, David and Carloni, Luca and Shepard, Kenneth and Wei, Gu-Yeon},
      title = {{A 12nm Linux-SMP-Capable RISC-V SoC with 14 Accelerator Types, Distributed Hardware Power Management, and Flexible NoC-Based Data Orchestration}},
      booktitle = {{Proceedings of the IEEE International Conference on Solid-State Circuits (ISSCC)}},
      year = {2024}
    }
    

2023

  • SoCProbe: Compositional Post-Silicon Validation of Heterogeneous NoC-Based SoCs.
    Gabriele Tombesi, Joseph Zuckerman, Paolo Mantovani, Davide Giri, Maico Cassel Dos Santos, Tianyu Jia, David Brooks, Gu-Yeon Wei, and Luca P. Carloni.
    IEEE Design & Test (Special Issue: NOCS’23), 2023.
    Best Paper Award PDF SLIDES BibTEX 
    @article{tombesi_ieeedt23,
      author = {Tombesi, Gabriele and Zuckerman, Joseph and Mantovani, Paolo and Giri, Davide and Cassel Dos Santos, Maico and Jia, Tianyu and Brooks, David and Wei, Gu-Yeon and Carloni, Luca P.},
      journal = {IEEE Design & Test (Special Issue: NOCS'23)},
      title = {SoCProbe: Compositional Post-Silicon Validation of Heterogeneous NoC-Based SoCs},
      year = {2023},
      doi = {10.1109/MDAT.2023.3310355}
    }
    
  • SpikeHard: Efficiency-Driven Neuromorphic Hardware for Heterogeneous Systems-on-Chip.
    Judicael Clair, Guy Eichler, and Luca P. Carloni.
    Proceedings of the International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES), 2023.
    PDF SLIDES BibTEX 
    @article{clair_cases23,
      author = {Clair, Judicael and Eichler, Guy and Carloni, Luca P.},
      journal = {Proceedings of the International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES)},
      title = {SpikeHard: Efficiency-Driven Neuromorphic Hardware for Heterogeneous Systems-on-Chip},
      year = {2023}
    }
    
  • An Analysis of Accelerator Data-Transfer Modes in NoC-Based SoC Architectures.
    Proceedings of the IEEE Conference on High Performance Extreme Computing (HPEC), 2023.
    Outstanding Student Paper Award PDF BibTEX 
    @article{chiu_hpec23,
      author = {Chiu, Kuan-Lin and Giri, Davide and Piccolboni, Luca and Carloni, Luca},
      title = {{An Analysis of Accelerator Data-Transfer Modes in NoC-Based SoC Architectures}},
      booktitle = {Proceedings of the IEEE Conference on High Performance Extreme Computing (HPEC)},
      year = {2023}
    }
    
  • MindCrypt: The Brain as a Random Number Generator for SoC-Based Brain-Computer Interfaces.
    Guy Eichler, Biruk Seyoum, Kuan Lin Chiu, and Luca P. Carloni.
    In Proceedings of the IEEE International Conference on Computer Design (ICCD), 2023.
    PDF SLIDES BibTEX 
    @inproceedings{eichler_iccd23,
      author = {Eichler, Guy and Seyoum, Biruk and Chiu, Kuan Lin and Carloni, Luca P.},
      title = {{MindCrypt: The Brain as a Random Number Generator for SoC-Based Brain-Computer Interfaces}},
      booktitle = {Proceedings of the IEEE International Conference on Computer Design (ICCD)},
      year = {2023}
    }
    
  • A Wireless, Mechanically Flexible, 25um-Thick, 65,536-Channel Subdural Surface Recording and Stimulating Microelectrode Array with Integrated Antennas.
    N. Zeng, T. Jung, M. Sharma, Guy Eichler, J. Fabbri, R.J. Cotton, E. Spinazzi, B. Youngerman, L. Carloni, and K.L. Shepard.
    Proceedings of the IEEE Symposium on VLSI Technology (VLSI), 2023.
    PDF BibTEX 
    @article{zeng_vlsi23,
      author = {Zeng, N. and Jung, T. and Sharma, M. and Eichler, Guy and Fabbri, J and Cotton, R. J. and Spinazzi, E. and Youngerman, B and Carloni, L. and Shepard, K. L.},
      title = {{A Wireless, Mechanically Flexible, 25um-Thick, 65,536-Channel Subdural Surface Recording and Stimulating Microelectrode Array with Integrated Antennas}},
      booktitle = {{Proceedings of the IEEE Symposium on VLSI Technology (VLSI)}},
      year = {2023}
    }
    
  • DECADES: A 67mm2, 1.46TOPS, 55 Giga Cache-Coherent 64-bit RISC-V Instructions per second, Heterogeneous Manycore SoC with 109 Tiles including Accelerators, Intelligent Storage, and eFPGA in 12nm FinFET.
    F. Gao, T.-J. Chand, A. Li, M. Orenes-Vera, D. Giri, P. Jackson, A. Ning, G. Tziantzioulis, Joseph Zuckerman, J. Tu, K. Xu, G. Chirkov, Gabriele Tombesi, J. Balkind, M. Martonosi, L. Carloni, and D. Wentzlaff.
    Proceedings of the Custom Integrated Circuits Conference (CICC), 2023.
    PDF BibTEX 
    @article{gao_cicc23,
      author = {Gao, F. and Chand, T.-J. and Li, A. and Orenes-Vera, M. and Giri, D. and Jackson, P. and Ning, A. and Tziantzioulis, G. and Zuckerman, Joseph and Tu, J. and Xu, K. and Chirkov, G. and Tombesi, Gabriele and Balkind, J. and Martonosi, M. and Carloni, L. and Wentzlaff, D.},
      title = {{DECADES: A 67mm2, 1.46TOPS, 55 Giga Cache-Coherent 64-bit RISC-V Instructions per second, Heterogeneous Manycore SoC with 109 Tiles including Accelerators, Intelligent Storage, and eFPGA in 12nm FinFET}},
      booktitle = {{Proceedings of the Custom Integrated Circuits Conference (CICC)}},
      year = {2023}
    }
    
  • EigenEdge: Real-Time Software Execution at the Edge with RISC-V and Hardware Accelerators.
    Kuan-Lin Chiu, Guy Eichler, Brook Seyoum, and Luca Carloni.
    Real-time And intelliGent Edge computing workshop, 2023.
    PDF BibTEX 
    @article{chiu_rage23,
      author = {Chiu, Kuan-Lin and Eichler, Guy and Seyoum, Brook and Carloni, Luca},
      title = {{EigenEdge: Real-Time Software Execution at the Edge with RISC-V and Hardware Accelerators}},
      booktitle = {{Real-time And intelliGent Edge computing workshop}},
      year = {2023}
    }
    
  • PR-ESP: An Open-Source Platform for Design and Programming of Partially Reconfigurable SoCs.
    Brook Seyoum, Davide Giri, Kuan-Lin Chiu, B. Natter, and Luca Carloni.
    Proceedings of the IEEE Design, Automation and Test in Europe Conference and Exhibition (DATE), 2023.
    PDF BibTEX 
    @article{seyoum_date23,
      author = {Seyoum, Brook and Giri, Davide and Chiu, Kuan-Lin and Natter, B. and Carloni, Luca},
      title = {{PR-ESP: An Open-Source Platform for Design and Programming of Partially Reconfigurable SoCs}},
      booktitle = {{Proceedings of the IEEE Design, Automation and Test in Europe Conference and Exhibition (DATE)}},
      year = {2023}
    }
    
  • A 12nm 18.1TFLOPs/W Sparse Transformer Processor with Entropy-Based Early Exit, Mixed-Precision Predication and Fine-Grained Power Management.
    Thierry Tambe, Jeff Zhang, Coleman Hooper, Tianyu Jia, Paul N. Whatmough, Joseph Zuckerman, Maico Cassel Dos Santos, Erik Jens Loscalzo, Davide Giri, Kenneth Shepard, Luca Carloni, Alexander Rush, David Brooks, and Gu-Yeon Wei.
    Proceedings of the IEEE International Conference on Solid-State Circuits (ISSCC), 2023.
    PDF BibTEX 
    @article{tambe_isscc23,
      author = {Tambe, Thierry and Zhang, Jeff and Hooper, Coleman and Jia, Tianyu and Whatmough, Paul N. and Zuckerman, Joseph and Cassel Dos Santos, Maico and Loscalzo, Erik Jens and Giri, Davide and Shepard, Kenneth and Carloni, Luca and Rush, Alexander and Brooks, David and Wei, Gu-Yeon},
      title = {{A 12nm 18.1TFLOPs/W Sparse Transformer Processor with Entropy-Based Early Exit, Mixed-Precision Predication and Fine-Grained Power Management.}},
      booktitle = {{Proceedings of the IEEE International Conference on Solid-State Circuits (ISSCC)}},
      year = {2023}
    }
    

2022

  • Accelerators & Security: The Socket Approach.
    Computer Architecture Letters, vol. 21, no. 2, July 2022, 65–68.
    PDF BibTEX 
    @article{piccolboni_cal22,
      author = {Piccolboni, Luca and Giri, Davide and Carloni, Luca P.},
      journal = {Computer Architecture Letters},
      title = {Accelerators & Security: The Socket Approach},
      year = {2022},
      month = jul,
      volume = {21},
      number = {2},
      pages = {65-68}
    }
    
  • An Open-source Platform for Design and Programming of Partially Reconfigurable Heterogeneous SoCs.
    In Proceedings of the International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES), 2022.
    PDF BibTEX 
    @inproceedings{seyoum_cases22,
      author = {Seyoum, Brook and Giri, Davide and Chiu, Kuan-Lin and Carloni, Luca P.},
      title = {An Open-source Platform for Design and Programming of Partially Reconfigurable Heterogeneous SoCs},
      journal = {Proceedings of the International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES)},
      year = {2022}
    }
    
  • Enabling Heterogeneous, Multicore SoC Research with RISC-V and ESP.
    In Proceedings of the Workshop on Computer Architecture Research with RISC-V (CARRV), 2022.
    PDF BibTEX 
    @inproceedings{zuckerman_carrv22,
      author = {Zuckerman, Joseph and Mantovani, Paolo and Giri, Davide and Carloni, Luca P.},
      title = {{Enabling Heterogeneous, Multicore SoC Research with RISC-V and ESP}},
      journal = {Proceedings of the Workshop on Computer Architecture Research with RISC-V (CARRV)},
      year = {2022}
    }
    
  • A 12nm Agile-Designed SoC for Swarm-Based Perception with Heterogeneous IP Blocks, a Reconfigurable Memory Hierarchy, and an 800MHz Multi-Plane NoC.
    Tianyu Jia, Paolo Mantovani, Maico Cassel Dos Santos, Davide Giri, Joseph Zuckerman, Erik Jens Loscalzo, Martin Cochet, Karthik Swaminathan, Gabriele Tombesi, Jeff Jun Zhang, Nandhini Chandramoorthy, John-David Wellman, Kevin Tien, Luca Carloni, Kenneth Shepard, David Brooks, Gu-Yeon Wei, and Pradip Bose.
    In Proceedings of the European Conference on Solid-State Circuits (ESSCIRC), 2022.
    PDF BibTEX 
    @inproceedings{jia_mantovani_casseldossantos_esscirc22,
      author = {Jia, Tianyu and Mantovani, Paolo and Cassel Dos Santos, Maico and Giri, Davide and Zuckerman, Joseph and Jens Loscalzo, Erik and Cochet, Martin and Swaminathan, Karthik and Tombesi, Gabriele and Jun Zhang, Jeff and Chandramoorthy, Nandhini and Wellman, John-David and Tien, Kevin and Carloni, Luca and Shepard, Kenneth and Brooks, David and Wei, Gu-Yeon and Bose, Pradip},
      title = {{A 12nm Agile-Designed SoC for Swarm-Based Perception with Heterogeneous IP Blocks, a Reconfigurable Memory Hierarchy, and an 800MHz Multi-Plane NoC}},
      booktitle = {{Proceedings of the European Conference on Solid-State Circuits (ESSCIRC)}},
      year = {2022}
    }
    
  • A Scalable Methodology for Agile Chip Development with Open-Source Hardware Components.
    Maico Cassel Dos Santos, Tianyu Jia, Martin Cochet, Karthik Swaminathan, Joseph Zuckerman, Paolo Mantovani, Davide Giri, Jeff Jun Zhang, Erik Jens Loscalzo, Gabriele Tombesi, Kevin Tien, Nandhini Chandramoorthy, John-David Wellman, David Brooks, Gu-Yeon Wei, Kenneth Shepard, Luca Carloni, and Pradip Bose.
    In Proceedings of the IEEE International Conference on Computer-Aided Design (ICCAD), 2022.
    PDF SLIDES BibTEX 
    @inproceedings{dossantos_iccad22,
      author = {Cassel Dos Santos, Maico and Jia, Tianyu and Cochet, Martin and Swaminathan, Karthik and Zuckerman, Joseph and Mantovani, Paolo and Giri, Davide and Jun Zhang, Jeff and Jens Loscalzo, Erik and Tombesi, Gabriele and Tien, Kevin and Chandramoorthy, Nandhini and Wellman, John-David and Brooks, David and Wei, Gu-Yeon and Shepard, Kenneth and Carloni, Luca and Bose, Pradip},
      title = {{A Scalable Methodology for Agile Chip Development with Open-Source Hardware Components}},
      booktitle = {{Proceedings of the IEEE International Conference on Computer-Aided Design (ICCAD)}},
      year = {2022}
    }
    

2021

  • Accelerator Integration for Open-Source SoC Design.
    IEEE Micro (Special Issue: FPGAs in Computing), vol. 41, no. 4, 2021, 8–14.
    PDF BibTEX 
    @article{giri_ieeemicro21,
      author = {Giri, Davide and Chiu, Kuan-Lin and Eichler, Guy and Mantovani, Paolo and Carloni, Luca P.},
      journal = {IEEE Micro (Special Issue: FPGAs in Computing)},
      title = {Accelerator Integration for Open-Source SoC Design},
      year = {2021},
      volume = {41},
      number = {4},
      pages = {8-14},
      doi = {10.1109/MM.2021.3073893}
    }
    
  • HARDROID: Transparent Integration of Crypto Accelerators in Android.
    In Proceedings of the IEEE High Performance Extreme Computing Conference (HPEC), 2021.
    PDF BibTEX 
    @inproceedings{piccolboni_hpec21,
      author = {Piccolboni, Luca and Di Guglielmo, Giuseppe and Sethumadhavan, Simha and Carloni, Luca P.},
      title = {{HARDROID: Transparent Integration of Crypto Accelerators in Android}},
      booktitle = {{Proceedings of the IEEE High Performance Extreme Computing Conference (HPEC)}},
      year = {2021}
    }
    
  • MasterMind : Many-Accelerator SoC Architecture for Real-Time Brain-Computer Interfaces.
    In Proceedings of the IEEE International Conference on Computer Design (ICCD), 2021.
    PDF SLIDES BibTEX 
    @inproceedings{eichler_iccd21,
      author = {Eichler, Guy and Piccolboni, Luca and Giri, Davide and Carloni, Luca P.},
      title = {{MasterMind : Many-Accelerator SoC Architecture for Real-Time Brain-Computer Interfaces}},
      booktitle = {Proceedings of the IEEE International Conference on Computer Design (ICCD)},
      year = {2021},
      url = {https://www.youtube.com/watch?v=9a5gRrlgHRc}
    }
    
  • Cohmeleon: Learning-Based Orchestration of Accelerator Coherence in Heterogeneous SoCs.
    In Proceedings of the IEEE/ACM Symposium on Microarchitecture (MICRO), 2021.
    PDF SLIDES BibTEX 
    @inproceedings{zuckerman_micro21,
      author = {Zuckerman, Joseph and Giri, Davide and Kwon, Jihye and Mantovani, Paolo and Carloni, Luca P.},
      title = {{Cohmeleon: Learning-Based Orchestration of Accelerator Coherence in Heterogeneous SoCs}},
      booktitle = {Proceedings of the IEEE/ACM Symposium on Microarchitecture (MICRO)},
      year = {2021}
    }
    
  • CRYLOGGER: Detecting Crypto Misuses Dynamically.
    In Proceedings of the IEEE Symposium on Security and Privacy (S&P), 2021.
    PDF BibTEX 
    @inproceedings{piccolboni_sp21,
      author = {Piccolboni, Luca and Di Guglielmo, Giuseppe and Carloni, Luca P. and Sethumadhavan, Simha},
      title = {{CRYLOGGER: Detecting Crypto Misuses Dynamically}},
      booktitle = {Proceedings of the IEEE Symposium on Security and Privacy (S\&P)},
      year = {2021}
    }
    

2020

  • Transfer-Learning for Design-Space Exploration with High-Level Synthesis.
    In Proceedings of the ACM/IEEE Workshop on Machine Learning for CAD (MLCAD), 2020, 163–168.
    Best Paper Award PDF BibTEX 
    @inproceedings{kwon_mlcad20,
      author = {Kwon, Jihye and Carloni, Luca P.},
      title = {{Transfer-Learning for Design-Space Exploration with High-Level Synthesis}},
      booktitle = {{Proceedings of the ACM/IEEE Workshop on Machine Learning for CAD (MLCAD)}},
      year = {2020},
      pages = {163--168},
      month = nov
    }
    
  • Photonic Switched Optically Connected Memory: An Approach to Address Memory Challenges in Deep Learning.
    Ziyi Zhu, Giuseppe Di Guglielmo, Qixiang Cheng, Madeleine Glick, Jihye Kwon, Hang Guan, Luca P. Carloni, and Keren Bergman.
    Journal of Lightwave Technology, vol. 38, no. 10, 2020, 2815–2825.
    BibTEX 
    @article{zhu_jlt20,
      author = {Zhu, Ziyi and Di Guglielmo, Giuseppe and Cheng, Qixiang and Glick, Madeleine and Kwon, Jihye and Guan, Hang and Carloni, Luca P. and Bergman, Keren},
      title = {{Photonic Switched Optically Connected Memory: An Approach to Address Memory Challenges in Deep Learning}},
      journal = {Journal of Lightwave Technology},
      year = {2020},
      volume = {38},
      number = {10},
      pages = {2815-2825}
    }
    
  • Silicon Photonics Codesign for Deep Learning.
    Qixiang Cheng, Jihye Kwon, Madeleine Glick, Meisam Bahadori, Luca P. Carloni, and Keren Bergman.
    Proceedings of the IEEE, vol. 108, no. 8, 2020, 1261–1282.
    Invited BibTEX 
    @article{cheng_pieee20,
      author = {Cheng, Qixiang and Kwon, Jihye and Glick, Madeleine and Bahadori, Meisam and Carloni, Luca P. and Bergman, Keren},
      title = {{Silicon Photonics Codesign for Deep Learning}},
      journal = {Proceedings of the IEEE},
      year = {2020},
      volume = {108},
      number = {8},
      pages = {1261-1282}
    }
    
  • Leveraging Prior Knowledge for Effective Design-Space Exploration in High-Level Synthesis.
    Lorenzo Ferretti, Jihye Kwon, Giovanni Ansaloni, Giuseppe Di Guglielmo, Luca P. Carloni, and Laura Pozzi.
    IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2020.
    PDF SLIDES BibTEX 
    @article{ferretti_tcad20,
      author = {Ferretti, Lorenzo and Kwon, Jihye and Ansaloni, Giovanni and Di Guglielmo, Giuseppe and Carloni, Luca P. and Pozzi, Laura},
      title = {{Leveraging Prior Knowledge for Effective Design-Space Exploration in High-Level Synthesis}},
      journal = {IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems},
      year = {2020}
    }
    
  • A Scalable Architecture for CNN Accelerators Leveraging High-Performance Memories.
    Maarten Hattink, Giuseppe Di Guglielmo, Luca P. Carloni, and Keren Bergman.
    In Proceedings of the IEEE High Performance Extreme Computing Conference (HPEC), 2020.
    PDF BibTEX 
    @inproceedings{hattink_hpec20,
      author = {Hattink, Maarten and Di Guglielmo, Giuseppe and Carloni, Luca P. and Bergman, Keren},
      booktitle = {{Proceedings of the IEEE High Performance Extreme Computing Conference (HPEC)}},
      title = {{A Scalable Architecture for CNN Accelerators Leveraging High-Performance Memories}},
      year = {2020}
    }
    
  • Agile SoC Development with Open ESP.
    In Proceedings of the International Conference on Computer-Aided Design (ICCAD), 2020.
    Invited PDF BibTEX 
    @inproceedings{mantovani_iccad20,
      author = {Mantovani, Paolo and Giri, Davide and Di Guglielmo, Giuseppe and Piccolboni, Luca and Zuckerman, Joseph and Cota, Emilio G. and Petracca, Michele and Pilato, Christian and Carloni, Luca P.},
      title = {{Agile SoC Development with Open ESP}},
      journal = {Proceedings of the International Conference on Computer-Aided Design (ICCAD)},
      year = {2020}
    }
    
  • Scalable Open-Source System-on-Chip Design.
    In Proceedings of the IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), 2020.
    Invited Talk - Extended Abstract PDF BibTEX 
    @inproceedings{carloni_vlsisoc20,
      author = {Carloni, Luca P.},
      title = {{Scalable Open-Source System-on-Chip Design}},
      booktitle = {Proceedings of the IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC)},
      year = {2020}
    }
    
  • Ariane + NVDLA: Seamless Third-Party IP Integration with ESP.
    In Proceedings of the Workshop on Computer Architecture Research with RISC-V (CARRV), 2020.
    PDF SLIDES BibTEX 
    @inproceedings{giri_carrv20,
      author = {Giri, Davide and Chiu, Kuan-Lin and Eichler, Guy and Mantovani, Paolo and Chandramoorthy, Nandhini and Carloni, Luca P.},
      title = {{Ariane + NVDLA: Seamless Third-Party IP Integration with ESP}},
      journal = {Proceedings of the Workshop on Computer Architecture Research with RISC-V (CARRV)},
      year = {2020}
    }
    
  • MosaicSim: A Lightweight, Modular Simulator for Heterogeneous Systems.
    Luwa Matthews, Aninda Manocha, Davide Giri, Marcelo Orenes-Vera, Esin Tureci, Tyler Sorensen, Tae Jun Ham, Juan Luis Aragon, Luca P. Carloni, and Margaret Martonosi.
    In Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), 2020.
    Best Paper Nominee PDF BibTEX 
    @inproceedings{matthews_ispass20,
      author = {Matthews, Luwa and Manocha, Aninda and Giri, Davide and Orenes-Vera, Marcelo and Tureci, Esin and Sorensen, Tyler and Ham, Tae Jun and Aragon, Juan Luis and Carloni, Luca P. and Martonosi, Margaret},
      title = {{MosaicSim: A Lightweight, Modular Simulator for Heterogeneous Systems}},
      journal = {Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS)},
      year = {2020}
    }
    
  • HL5: A 32-bit RISC-V Processor Designed with High-Level Synthesis.
    In Proceedings of the Custom Integrated Circuits Conference (CICC), 2020.
    Invited Paper PDF BibTEX 
    @inproceedings{mantovani_cicc20,
      author = {Mantovani, Paolo and Margelli, Robert and Giri, Davide and Carloni, Luca P.},
      title = {{HL5: A 32-bit RISC-V Processor Designed with High-Level Synthesis}},
      journal = {Proceedings of the Custom Integrated Circuits Conference (CICC)},
      year = {2020}
    }
    
  • ESP4ML: Platform-Based Design of Systems-on-Chip for Embedded Machine Learning.
    In Proceedings of the Design, Automation and Test in Europe Conference (DATE), 2020.
    Best Paper Nominee PDF SLIDES BibTEX 
    @inproceedings{giri_date20,
      author = {Giri, Davide and Chiu, Kuan-Lin and Di Guglielmo, Giuseppe and Mantovani, Paolo and Carloni, Luca P.},
      title = {{ESP4ML: Platform-Based Design of Systems-on-Chip for Embedded Machine Learning}},
      journal = {Proceedings of the Design, Automation and Test in Europe Conference (DATE)},
      year = {2020}
    }
    

2019

  • A Learning-Based Recommender System for Autotuning Design Flows of Industrial High-Performance Processors.
    Jihye Kwon, Matthew M. Ziegler, and Luca P. Carloni.
    In Proceedings of the Design Automation Conference (DAC), 2019, 218:1–218:6.
    PDF SLIDES BibTEX 
    @inproceedings{kwon_dac19,
      author = {Kwon, Jihye and Ziegler, Matthew M. and Carloni, Luca P.},
      title = {A Learning-Based Recommender System for Autotuning Design Flows of Industrial High-Performance Processors},
      booktitle = {Proceedings of the Design Automation Conference (DAC)},
      year = {2019},
      pages = {218:1--218:6},
      articleno = {218},
      numpages = {6},
      month = jun
    }
    
  • Cross-ISA Machine Instrumentation using Fast and Scalable Dynamic Binary Translation.
    In Proceedings of the 15th ACM SIGPLAN/SIGOPS International Conference on Virtual Execution Environments, 2019, 74–87.
    PDF BibTEX 
    @inproceedings{cota_vee19,
      author = {Cota, Emilio G. and Carloni, Luca P.},
      title = {Cross-{ISA} Machine Instrumentation using Fast and Scalable Dynamic Binary Translation},
      booktitle = {Proceedings of the 15th ACM SIGPLAN/SIGOPS International Conference on Virtual Execution Environments},
      series = {VEE 2019},
      year = {2019},
      month = apr,
      isbn = {978-1-4503-6020-3},
      location = {Providence, RI, USA},
      pages = {74--87},
      numpages = {14},
      url = {http://doi.acm.org/10.1145/3313808.3313811},
      doi = {10.1145/3313808.3313811},
      acmid = {3313811},
      publisher = {ACM},
      address = {New York, NY, USA}
    }
    
  • Towards a Complete Methodology for Synthesizing Bundled-Data Asynchronous Circuits on FPGAs.
    Kshitij Bhardwaj, Paolo Mantovani, Luca P. Carloni, and Steven M. Nowick.
    In Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), 2019.
    PDF BibTEX 
    @inproceedings{bhardawaj_islped19,
      author = {Bhardwaj, Kshitij and Mantovani, Paolo and Carloni, Luca P. and Nowick, Steven M.},
      title = {{Towards a Complete Methodology for Synthesizing Bundled-Data Asynchronous Circuits on FPGAs}},
      booktitle = {Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED)},
      year = {2019}
    }
    
  • KAIROS: Incremental Verification in High-Level Synthesis through Latency-Insensitive Design.
    In Proceedings of ACM/IEEE Formal Methods in Computer-Aided Design (FMCAD), 2019.
    PDF SLIDES BibTEX 
    @inproceedings{piccolboni_fmcad19,
      author = {Piccolboni, Luca and Di Guglielmo, Giuseppe and Carloni, Luca P.},
      title = {{KAIROS: Incremental Verification in High-Level Synthesis through Latency-Insensitive Design}},
      booktitle = {Proceedings of ACM/IEEE Formal Methods in Computer-Aided Design (FMCAD)},
      year = {2019}
    }
    
  • Teaching Heterogeneous Computing with System-Level Design Methods.
    In Proceedings of the Workshop on Computer Architecture Education, 2019, 4:1–4:8.
    PDF BibTEX 
    @inproceedings{carloni_wcae19,
      author = {Carloni, Luca P. and Cota, Emilio G. and Guglielmo, Giuseppe Di and Giri, Davide and Kwon, Jihye and Mantovani, Paolo and Piccolboni, Luca and Petracca, Michele},
      title = {Teaching Heterogeneous Computing with System-Level Design Methods},
      booktitle = {Proceedings of the Workshop on Computer Architecture Education},
      series = {WCAE'19},
      year = {2019},
      isbn = {978-1-4503-6842-1},
      location = {Phoenix, AZ, USA},
      pages = {4:1--4:8},
      articleno = {4},
      numpages = {8},
      url = {http://doi.acm.org/10.1145/3338698.3338893},
      doi = {10.1145/3338698.3338893},
      acmid = {3338893},
      publisher = {ACM},
      address = {New York, NY, USA}
    }
    
  • Runtime Reconfigurable Memory Hierarchy in Embedded Scalable Platforms.
    In Proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC), 2019.
    Invited Paper PDF SLIDES BibTEX 
    @inproceedings{giri_aspdac19,
      author = {Giri, Davide and Mantovani, Paolo and Carloni, Luca P.},
      title = {{Runtime Reconfigurable Memory Hierarchy in Embedded Scalable Platforms}},
      journal = {Proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC)},
      year = {2019}
    }
    

2018

  • Accelerators and Coherence: An SoC Perspective.
    IEEE Micro (Special Issue: Hardware Acceleration), vol. 38, no. 6, November 2018, 36–45.
    PDF BibTEX 
    @article{giri_ieeemicro18,
      author = {Giri, Davide and Mantovani, Paolo and Carloni, Luca P.},
      journal = {IEEE Micro (Special Issue: Hardware Acceleration)},
      title = {Accelerators and Coherence: An SoC Perspective},
      year = {2018},
      volume = {38},
      number = {6},
      pages = {36-45},
      month = nov
    }
    
  • PAGURUS: Low-Overhead Dynamic Information Flow Tracking on Loosely Coupled Accelerators.
    IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2018.
    PDF POSTER SLIDES BibTEX 
    @article{piccolboni_tcad18,
      author = {Piccolboni, Luca and Di Guglielmo, Giuseppe and Carloni, Luca P.},
      title = {{PAGURUS: Low-Overhead Dynamic Information Flow Tracking on Loosely Coupled Accelerators}},
      journal = {IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems},
      year = {2018}
    }
    
  • NoC-Based Support of Heterogeneous Cache-Coherence Models for Accelerators.
    In Proceedings of the Twelfth IEEE/ACM International Symposium on Networks-on-Chip (NOCS), 2018.
    PDF POSTER SLIDES BibTEX 
    @inproceedings{giri_nocs18,
      author = {Giri, Davide and Mantovani, Paolo and Carloni, Luca P.},
      title = {{NoC-Based Support of Heterogeneous Cache-Coherence Models for Accelerators}},
      journal = {Proceedings of the Twelfth IEEE/ACM International Symposium on Networks-on-Chip (NOCS)},
      year = {2018}
    }
    
  • DarkMem: Fine-grained Power Management of Local Memories for Accelerators in Embedded Systems.
    In Proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC), 2018.
    BibTEX 
    @inproceedings{pilato_aspdac2018,
      author = {Pilato, Christian and Carloni, Luca P.},
      booktitle = {Proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC)},
      title = {{DarkMem: Fine-grained Power Management of Local Memories for Accelerators in Embedded Systems}},
      year = {2018}
    }
    
  • Design and Implementation of a Dynamic Information Flow Tracking Architecture to Secure a RISC-V Core for IoT Applications.
    Christian Palmiero, Giuseppe Di Guglielmo, Luciano Lavagno, and Luca P. Carloni.
    In Proceedings of the IEEE High Performance Extreme Computing Conference (HPEC), 2018.
    PDF SLIDES BibTEX 
    @inproceedings{palmiero_hpec18,
      author = {Palmiero, Christian and Di Guglielmo, Giuseppe and Lavagno, Luciano and Carloni, Luca P.},
      booktitle = {{Proceedings of the IEEE High Performance Extreme Computing Conference (HPEC)}},
      title = {{Design and Implementation of a Dynamic Information Flow Tracking 
           Architecture to Secure a RISC-V Core for IoT Applications}},
      year = {2018}
    }
    

2017

  • COSMOS: Coordination of High-Level Synthesis and Memory Optimization for Hardware Accelerators.
    ACM Transactions on Embedded Computing Systems, vol. 16, no. 5s, September 2017.
    PDF SLIDES BibTEX 
    @article{piccolboni_tecs17,
      author = {Piccolboni, Luca and Mantovani, Paolo and Di Guglielmo, Giuseppe and Carloni, Luca P.},
      title = {{COSMOS: Coordination of High-Level Synthesis and Memory Optimization for Hardware Accelerators}},
      journal = {ACM Transactions on Embedded Computing Systems},
      volume = {16},
      number = {5s},
      month = sep,
      year = {2017}
    }
    
  • Accelerators for Breast Cancer Detection.
    Daniele Jahier Pagliari, Mario R. Casu, and Luca P. Carloni.
    ACM Transactions on Embedded Computing Systems, vol. 16, no. 3, March 2017.
    PDF BibTEX 
    @article{pagliari_tecs17,
      author = {Pagliari, Daniele Jahier and Casu, Mario R. and Carloni, Luca P.},
      title = {{Accelerators for Breast Cancer Detection}},
      journal = {ACM Transactions on Embedded Computing Systems},
      volume = {16},
      number = {3},
      month = mar,
      year = {2017}
    }
    
  • System-Level Optimization of Accelerator Local Memory for Heterogeneous Systems-on-Chip.
    IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2017.
    PDF BibTEX 
    @article{pilato_tcad17,
      author = {Pilato, Christian and Mantovani, Paolo and Di Guglielmo, Giuseppe and Carloni, Luca P.},
      title = {{System-Level Optimization of Accelerator Local Memory for Heterogeneous Systems-on-Chip}},
      journal = {IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems},
      year = {2017}
    }
    
  • Broadening the Exploration of the Accelerator Design Space in Embedded Scalable Platforms.
    In Proceedings of the IEEE High Performance Extreme Computing Conference (HPEC), 2017.
    PDF BibTEX 
    @inproceedings{piccolboni_hpec17,
      author = {Piccolboni, Luca and Mantovani, Paolo and Di Guglielmo, Giuseppe and Carloni, Luca P.},
      booktitle = {{Proceedings of the IEEE High Performance Extreme Computing Conference (HPEC)}},
      title = {{Broadening the Exploration of the Accelerator Design Space in Embedded Scalable Platforms}},
      year = {2017}
    }
    
  • System-Level Design of Networks-on-Chip for Heterogeneous Systems-on-Chip.
    Young Jin Yoon, Paolo Mantovani, and Luca P. Carloni.
    In Proceedings of the Eleventh IEEE/ACM International Symposium on Networks-on-Chip (NOCS), 2017.
    Invited Paper PDF BibTEX 
    @inproceedings{yoon_nocs17,
      author = {Yoon, Young Jin and Mantovani, Paolo and Carloni, Luca P.},
      title = {System-Level Design of Networks-on-Chip for Heterogeneous Systems-on-Chip},
      booktitle = {Proceedings of the Eleventh IEEE/ACM International Symposium on Networks-on-Chip (NOCS)},
      year = {2017}
    }
    
  • Cross-ISA Machine Emulation for Multicores.
    Emilio G. Cota, Paolo Bonzini, Alex Bennée, and Luca P. Carloni.
    In Proceedings of the 2017 International Symposium on Code Generation and Optimization, 2017, 210–220.
    PDF BibTEX 
    @inproceedings{cota_cgo17,
      author = {Cota, Emilio G. and Bonzini, Paolo and Benn{\'e}e, Alex and Carloni, Luca P.},
      title = {Cross-{ISA} Machine Emulation for Multicores},
      booktitle = {Proceedings of the 2017 International Symposium on Code Generation and Optimization},
      series = {CGO 2017},
      year = {2017},
      isbn = {978-1-5090-4931-8},
      location = {Austin, USA},
      pages = {210--220},
      numpages = {11},
      url = {http://dl.acm.org/citation.cfm?id=3049832.3049855},
      acmid = {3049855},
      publisher = {IEEE Press},
      address = {Piscataway, NJ, USA}
    }
    

2016

  • Handling Large Data Sets for High-Performance Embedded Applications in Heterogeneous Systems-on-Chip.
    In Proceedings of the International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES), 2016, 3:1–3:10.
    PDF BibTEX 
    @inproceedings{mantovani_cases16,
      author = {Mantovani, Paolo and Cota, Emilio G. and Pilato, Christian and Di Guglielmo, Giuseppe and Carloni, Luca P.},
      title = {Handling Large Data Sets for High-Performance Embedded Applications in Heterogeneous Systems-on-Chip},
      booktitle = {Proceedings of the International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES)},
      year = {2016},
      month = oct,
      isbn = {978-1-4503-4482-1},
      location = {Pittsburgh, Pennsylvania},
      pages = {3:1--3:10},
      articleno = {3},
      numpages = {10},
      url = {http://doi.acm.org/10.1145/2968455.2968509},
      doi = {10.1145/2968455.2968509},
      acmid = {2968509},
      publisher = {ACM},
      address = {New York, NY, USA}
    }
    
  • The Case for Embedded Scalable Platforms.
    In Proceedings of the Design Automation Conference (DAC), 2016, 17:1–17:6.
    Invited Paper PDF BibTEX 
    @inproceedings{carloni_dac16,
      author = {Carloni, Luca P.},
      title = {The Case for Embedded Scalable Platforms},
      booktitle = {Proceedings of the Design Automation Conference (DAC)},
      year = {2016},
      month = jun,
      pages = {17:1-17:6}
    }
    
  • An FPGA-based Infrastructure for Fine-grained DVFS Analysis in High-performance Embedded Systems.
    In Proceedings of the Design Automation Conference (DAC), 2016, 157:1–157:6.
    PDF BibTEX 
    @inproceedings{mantovani_dac16,
      author = {Mantovani, Paolo and Cota, Emilio G. and Tien, Kevin and Pilato, Christian and Di Guglielmo, Giuseppe and Shepard, Ken and Carloni, Luca P.},
      title = {An FPGA-based Infrastructure for Fine-grained DVFS Analysis in High-performance Embedded Systems},
      booktitle = {Proceedings of the Design Automation Conference (DAC)},
      year = {2016},
      month = jun,
      pages = {157:1-157:6}
    }
    
  • Exploiting Private Local Memories to Reduce the Opportunity Cost of Accelerator Integration.
    In Proceedings of the International Conference on Supercomputing (ICS), 2016, 27:1–27:12.
    PDF BibTEX 
    @inproceedings{cota_ics16,
      author = {Cota, Emilio G. and Mantovani, Paolo and Carloni, Luca P.},
      title = {Exploiting Private Local Memories to Reduce the Opportunity Cost of Accelerator Integration},
      booktitle = {Proceedings of the International Conference on Supercomputing (ICS)},
      year = {2016},
      month = jun,
      pages = {27:1-27:12}
    }
    
  • A synthesis-parameter tuning system for autonomous design-space exploration.
    Matthew M. Ziegler, Hung-Yi Liu, George Gristede, Bruce Owens, Ricardo Nigaglioni, and Luca P. Carloni.
    In Proceedings of the Conference on Design, Automation and Test in Europe (DATE), 2016, 1148–1151.
    PDF BibTEX 
    @inproceedings{ziegler_date16,
      author = {Ziegler, Matthew M. and Liu, Hung-Yi and Gristede, George and Owens, Bruce and Nigaglioni, Ricardo and Carloni, Luca P.},
      title = {A synthesis-parameter tuning system for autonomous design-space exploration},
      booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe (DATE)},
      year = {2016},
      month = mar,
      pages = {1148-1151}
    }
    
  • High-Level Synthesis of Accelerators in Embedded Scalable Platforms.
    In Proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC), 2016, 204–211.
    Invited Paper PDF BibTEX 
    @inproceedings{mantovani_aspdac16,
      author = {Mantovani, Paolo and Di Guglielmo, Giuseppe and Carloni, Luca P.},
      title = {{High-Level Synthesis of Accelerators in Embedded Scalable Platforms}},
      booktitle = {Proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC)},
      pages = {204-211},
      year = {2016},
      month = jan
    }
    
  • Scalable Auto-Tuning of Synthesis Parameters for Optimizing High-Performance Processors.
    Matthew M. Ziegler, Hung-Yi Liu, and Luca P. Carloni.
    In Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), 2016, 180–185.
    PDF BibTEX 
    @inproceedings{ziegler_islped16,
      author = {Ziegler, Matthew M. and Liu, Hung-Yi and Carloni, Luca P.},
      title = {Scalable Auto-Tuning of Synthesis Parameters for Optimizing High-Performance Processors},
      booktitle = {Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED)},
      year = {2016},
      pages = {180-185}
    }
    
  • A Probabilistic Ranking Model for Audio Stream Retrieval.
    YoungHoon Jung, Jaehwan Koo, Karl Stratos, and Luca P. Carloni.
    In Proceedings of the International Workshop on Multimedia Analysis and Retrieval for Multimodal Interaction (MARMI), 2016, 33–38.
    PDF BibTEX 
    @inproceedings{jung_marmi16,
      author = {Jung, YoungHoon and Koo, Jaehwan and Stratos, Karl and Carloni, Luca P.},
      title = {A Probabilistic Ranking Model for Audio Stream Retrieval},
      booktitle = {Proceedings of the International Workshop on Multimedia Analysis and Retrieval for Multimodal Interaction (MARMI)},
      year = {2016},
      pages = {33-38}
    }
    
  • On the Design of Scalable and Reusable Accelerators for Big Data Applications.
    In Proceedings of the International Conference on Computing Frontiers (CF), 2016, 406–411.
    Invited Paper PDF BibTEX 
    @inproceedings{pilato_cf16,
      author = {Pilato, Christian and Xu, Qirui and Mantovani, Paolo and Di Guglielmo, Giuseppe and Carloni, Luca P.},
      title = {On the Design of Scalable and Reusable Accelerators for Big Data Applications},
      booktitle = {Proceedings of the International Conference on Computing Frontiers (CF)},
      year = {2016},
      pages = {406-411}
    }
    

2015

  • From Latency-Insensitive Design to Communication-Based System-Level Design.
    Proceedings of the IEEE, vol. 103, no. 11, November 2015, 2133–2151.
    PDF BibTEX 
    @article{carloni_pieee15,
      author = {Carloni, Luca P.},
      title = {{From Latency-Insensitive Design to Communication-Based System-Level Design}},
      journal = {Proceedings of the IEEE},
      year = {2015},
      month = nov,
      volume = {103},
      number = {11},
      pages = {2133-2151}
    }
    
  • Design Automation of Electronic Systems: Past Accomplishments and Challenges Ahead [Scanning the Issue].
    R. Brayton, Luca P. Carloni, A.L. Sangiovanni-Vincentelli, and T. Villa.
    Proceedings of the IEEE, vol. 103, no. 11, November 2015, 1952–1957.
    PDF BibTEX 
    @article{brayton_pieee15,
      author = {Brayton, R. and Carloni, Luca P. and Sangiovanni-Vincentelli, A.L. and Villa, T.},
      title = {Design Automation of Electronic Systems: Past Accomplishments and Challenges Ahead [Scanning the Issue]},
      journal = {Proceedings of the IEEE},
      year = {2015},
      month = nov,
      volume = {103},
      number = {11},
      pages = {1952-1957}
    }
    
  • Energy-Harvesting Active Networked Tags (EnHANTs): Prototyping and Experimentation.
    Robert Margolies, Maria Gorlatova, John Sarik, Gerald Stanje, Jianxun Zhu, Paul Miller, Marcin Szczodrak, Baradwaj Vigraham, Luca P. Carloni, Peter Kinget, Ioannis Kymissis, and Gil Zussman.
    ACM Trans. Sen. Netw., vol. 11, no. 4, November 2015, 1–27.
    PDF BibTEX 
    @article{margolies_tosn15,
      author = {Margolies, Robert and Gorlatova, Maria and Sarik, John and Stanje, Gerald and Zhu, Jianxun and Miller, Paul and Szczodrak, Marcin and Vigraham, Baradwaj and Carloni, Luca P. and Kinget, Peter and Kymissis, Ioannis and Zussman, Gil},
      title = {{Energy-Harvesting Active Networked Tags (EnHANTs): Prototyping and Experimentation}},
      journal = {ACM Trans. Sen. Netw.},
      volume = {11},
      number = {4},
      month = nov,
      year = {2015},
      pages = {1--27}
    }
    
  • A low-cost, fast, and accurate microwave imaging system for breast cancer detection.
    D.J. Pagliari, A. Pulimeno, M. Vacca, J.A. Tobon, F. Vipiana, M.R. Casu, R. Solimene, and Luca P. Carloni.
    In Biomedical Circuits and Systems Conference (BioCAS), 2015 IEEE, 2015, 1–4.
    PDF BibTEX 
    @inproceedings{pagliari_biocas15,
      author = {Pagliari, D.J. and Pulimeno, A. and Vacca, M. and Tobon, J.A. and Vipiana, F. and Casu, M.R. and Solimene, R. and Carloni, Luca P.},
      title = {{A low-cost, fast, and accurate microwave imaging system for breast cancer detection}},
      booktitle = {Biomedical Circuits and Systems Conference (BioCAS), 2015 IEEE},
      year = {2015},
      month = oct,
      pages = {1-4}
    }
    
  • Acceleration of microwave imaging algorithms for breast cancer detection via High-Level Synthesis.
    Daniele Jahier Pagliari, Mario R. Casu, and Luca P. Carloni.
    In Computer Design (ICCD), 2015 33rd IEEE International Conference on, 2015, 475–478.
    PDF BibTEX 
    @inproceedings{pagliari_iccd15,
      author = {Pagliari, Daniele Jahier and Casu, Mario R. and Carloni, Luca P.},
      title = {{Acceleration of microwave imaging algorithms for breast cancer detection via High-Level Synthesis}},
      booktitle = {Computer Design (ICCD), 2015 33rd IEEE International Conference on},
      year = {2015},
      month = oct,
      pages = {475-478}
    }
    
  • An 82%-efficient multiphase voltage-regulator 3D interposer with on-chip magnetic inductors.
    K. Tien, N. Sturcken, Naigang Wang, Jae-Woong Nah, Bing Dang, E. O’Sullivan, P. Andry, M. Petracca, Luca P. Carloni, W. Gallagher, and K. Shepard.
    In 2015 Symposium on VLSI Technology (VLSI Technology), 2015, C192–C193.
    PDF BibTEX 
    @inproceedings{tien_vlsi15,
      author = {Tien, K. and Sturcken, N. and Wang, Naigang and Nah, Jae-Woong and Dang, Bing and O'Sullivan, E. and Andry, P. and Petracca, M. and Carloni, Luca P. and Gallagher, W. and Shepard, K.},
      title = {{An 82%-efficient multiphase voltage-regulator 3D interposer with on-chip magnetic inductors}},
      booktitle = {2015 Symposium on VLSI Technology (VLSI Technology)},
      year = {2015},
      month = jun,
      pages = {C192-C193}
    }
    
  • An Analysis of Accelerator Coupling in Heterogeneous Architectures.
    In Proceedings of the Design Automation Conference (DAC), 2015, 202:1–202:6.
    PDF BibTEX 
    @inproceedings{cota_dac15,
      author = {Cota, Emilio G. and Mantovani, Paolo and Di Guglielmo, Giuseppe and Carloni, Luca P.},
      title = {An Analysis of Accelerator Coupling in Heterogeneous Architectures},
      booktitle = {Proceedings of the Design Automation Conference (DAC)},
      series = {DAC'15},
      year = {2015},
      month = jun,
      isbn = {978-1-4503-3520-1},
      location = {San Francisco, California},
      pages = {202:1--202:6},
      articleno = {202},
      numpages = {6},
      url = {http://doi.acm.org/10.1145/2744769.2744794},
      doi = {10.1145/2744769.2744794},
      acmid = {2744794},
      publisher = {ACM},
      address = {New York, NY, USA}
    }
    
  • ΣVP: Host-GPU Multiplexing for Efficient Simulation of Multiple Embedded GPUs on Virtual Platforms.
    In Proceedings of the Design Automation Conference (DAC), 2015, 106:1–106:6.
    PDF BibTEX 
    @inproceedings{jung_dac15,
      author = {Jung, YoungHoon and Carloni, Luca P.},
      title = { ΣVP: Host-GPU Multiplexing for Efficient Simulation of Multiple Embedded GPUs on Virtual Platforms},
      booktitle = {Proceedings of the Design Automation Conference (DAC)},
      year = {2015},
      series = {DAC '15},
      pages = {106:1--106:6}
    }
    
  • LN-Annote: An Alternative Approach to Information Extraction from Emails Using Locally-Customized Named-Entity Recognition.
    YoungHoon Jung, Karl Stratos, and Luca P. Carloni.
    In Proceedings of the 24th International Conference on World Wide Web, 2015, 538–548.
    PDF BibTEX 
    @inproceedings{jung_www15,
      author = {Jung, YoungHoon and Stratos, Karl and Carloni, Luca P.},
      title = {{LN-Annote: An Alternative Approach to Information Extraction from Emails Using Locally-Customized Named-Entity Recognition}},
      booktitle = {Proceedings of the 24th International Conference on World Wide Web},
      series = {WWW '15},
      year = {2015},
      pages = {538--548}
    }
    

2014

  • An experimental investigation of occupancy-based energy-efficient control of commercial building indoor climate.
    J. Brooks, S. Goyal, R. Subramany, Y. Lin, T. Middelkoop, L. Arpan, Luca P. Carloni, and P. Barooah.
    In 2014 IEEE 53rd Annual Conference on Decision and Control (CDC), 2014, 5680–5685.
    PDF BibTEX 
    @inproceedings{brooks_cdc14,
      author = {Brooks, J. and Goyal, S. and Subramany, R. and Lin, Y. and Middelkoop, T. and Arpan, L. and Carloni, Luca P. and Barooah, P.},
      title = {{An experimental investigation of occupancy-based energy-efficient control of commercial building indoor climate}},
      booktitle = {2014 IEEE 53rd Annual Conference on Decision and Control (CDC)},
      year = {2014},
      month = dec,
      pages = {5680-5685}
    }
    
  • System-level memory optimization for high-level synthesis of component-based SoCs.
    In Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), 2014, 1–10.
    PDF BibTEX 
    @inproceedings{pilato_codesisss2014,
      author = {Pilato, Christian and Mantovani, Paolo and Di Guglielmo, Giuseppe and Carloni, Luca P.},
      title = {{System-level memory optimization for high-level synthesis of component-based SoCs}},
      booktitle = {Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS)},
      year = {2014},
      month = oct,
      pages = {1-10}
    }
    
  • Cloud-Aided Design for Distributed Embedded Systems.
    IEEE Design & Test of Computers, vol. 31, no. 3, June 2014, 32–40.
    PDF BibTEX 
    @article{jung_dt14,
      author = {Jung, YoungHoon and Petracca, Michele and Carloni, Luca P.},
      title = {Cloud-Aided Design for Distributed Embedded Systems},
      journal = {IEEE Design \& Test of Computers},
      year = {2014},
      month = jun,
      volume = {31},
      number = {3},
      pages = {32-40}
    }
    
  • Accelerator Memory Reuse in the Dark Silicon Era.
    Computer Architecture Letters, vol. 13, no. 1, January 2014, 9–12.
    PDF BibTEX 
    @article{cota_cal14,
      author = {Cota, Emilio G. and Mantovani, Paolo and Petracca, Michele and Casu, Mario R. and Carloni, Luca P.},
      journal = {Computer Architecture Letters},
      title = {Accelerator Memory Reuse in the Dark Silicon Era},
      year = {2014},
      month = jan,
      volume = {13},
      number = {1},
      pages = {9-12},
      doi = {10.1109/L-CA.2012.29},
      issn = {1556-6056}
    }
    
  • A Design Methodology for Compositional High-Level Synthesis of Communication-Centric SoCs.
    In Proceedings of the Design Automation Conference (DAC), 2014, 128:1–128:6.
    PDF BibTEX 
    @inproceedings{diguglielmo_dac14,
      author = {Di Guglielmo, Giuseppe and Pilato, Christian and Carloni, Luca P.},
      title = {{A Design Methodology for Compositional High-Level Synthesis of Communication-Centric SoCs}},
      booktitle = {Proceedings of the Design Automation Conference (DAC)},
      series = {DAC '14},
      year = {2014},
      pages = {128:1--128:6}
    }
    

2013

  • Virtual Channels and Multiple Physical Networks: Two Alternatives to Improve NoC Performance.
    Young Jin Yoon, N. Concer, M. Petracca, and Luca P. Carloni.
    Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol. 32, no. 12, December 2013, 1906–1919.
    PDF BibTEX 
    @article{yoon_tcad13,
      author = {Yoon, Young Jin and Concer, N. and Petracca, M. and Carloni, Luca P.},
      title = {{Virtual Channels and Multiple Physical Networks: Two Alternatives to Improve NoC Performance}},
      journal = {Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on},
      year = {2013},
      month = dec,
      volume = {32},
      number = {12},
      pages = {1906-1919}
    }
    
  • Flexible Filters in Stream Programs.
    Rebecca L. Collins and Luca P. Carloni.
    ACM Trans. Embed. Comput. Syst., vol. 13, no. 3, December 2013, 45:1–45:26.
    PDF BibTEX 
    @article{collins_tecs13,
      author = {Collins, Rebecca L. and Carloni, Luca P.},
      title = {{Flexible Filters in Stream Programs}},
      journal = {ACM Trans. Embed. Comput. Syst.},
      year = {2013},
      month = dec,
      volume = {13},
      number = {3},
      pages = {45:1--45:26}
    }
    
  • Modeling and Implementation of Energy Neutral Sensing Systems.
    Marcin Szczodrak, Omprakash Gnawali, and Luca P. Carloni.
    In Proc. of ENSSys Work., 2013, 9:1–9:6.
    PDF BibTEX 
    @inproceedings{szczodrak_enssys13,
      author = {Szczodrak, Marcin and Gnawali, Omprakash and Carloni, Luca P.},
      title = {Modeling and Implementation of Energy Neutral Sensing Systems},
      booktitle = {Proc. of ENSSys Work.},
      month = nov,
      year = {2013},
      pages = {9:1--9:6}
    }
    
  • netShip: a networked virtual platform for large-scale heterogeneous distributed embedded systems.
    In Proceedings of Design Automation Conference, 2013, 169:1–169:10.
    PDF BibTEX 
    @inproceedings{jung_dac13,
      author = {Jung, YoungHoon and Park, Jinhyung and Petracca, Michele and Carloni, Luca P.},
      title = {{netShip}: a networked virtual platform for large-scale heterogeneous distributed embedded systems},
      booktitle = {Proceedings of Design Automation Conference},
      year = {2013},
      month = jun,
      pages = {169:1--169:10}
    }
    
  • An Open Framework to Deploy Heterogeneous Wireless Testbed for Cyber-Physical Systems.
    Marcin Szczodrak, Yong Yang, Dave Cavalcanti, and Luca P. Carloni.
    In Proc. of IEEE SIES Symp., 2013, 215–224.
    PDF BibTEX 
    @inproceedings{szczodrak_sies13,
      author = {Szczodrak, Marcin and Yang, Yong and Cavalcanti, Dave and Carloni, Luca P.},
      title = {An Open Framework to Deploy Heterogeneous Wireless Testbed 
      				for {Cyber-Physical Systems}},
      booktitle = {Proc. of IEEE SIES Symp.},
      month = jun,
      year = {2013},
      pages = {215--224}
    }
    
  • On learning-based methods for design-space exploration with High-Level Synthesis.
    In Proceedings of the Design Automation Conference (DAC), 2013, 1–7.
    PDF BibTEX 
    @inproceedings{liu_dac13,
      author = {Liu, Hung-Yi and Carloni, Luca P.},
      title = {{On learning-based methods for design-space exploration with High-Level Synthesis}},
      booktitle = {Proceedings of the Design Automation Conference (DAC)},
      year = {2013},
      month = may,
      pages = {1-7}
    }
    
  • A method to abstract RTL IP blocks into C++ code and enable high-level synthesis.
    N. Bombieri, Hung-Yi Liu, F. Fummi, and Luca P. Carloni.
    In Proceedings of the Design Automation Conference (DAC), 2013, 1–9.
    PDF BibTEX 
    @inproceedings{bombieri_dac13,
      author = {Bombieri, N. and Liu, Hung-Yi and Fummi, F. and Carloni, Luca P.},
      title = {{A method to abstract RTL IP blocks into C++ code and enable high-level synthesis}},
      booktitle = {Proceedings of the Design Automation Conference (DAC)},
      year = {2013},
      month = may,
      pages = {1-9}
    }
    
  • P-sync: A Photonically Enabled Architecture for Efficient Non-local Data Access.
    D. Whelihan, J.J. Hughes, S.M. Sawyer, E. Robinson, M. Wolf, S. Mohindra, J. Mullen, A. Klein, M. Beard, N.T. Bliss, J. Chan, R. Hendry, K. Bergman, and Luca P. Carloni.
    In Parallel Distributed Processing (IPDPS), 2013 IEEE 27th International Symposium on, 2013, 189–200.
    PDF BibTEX 
    @inproceedings{whelihan_ipdps13,
      author = {Whelihan, D. and Hughes, J.J. and Sawyer, S.M. and Robinson, E. and Wolf, M. and Mohindra, S. and Mullen, J. and Klein, A. and Beard, M. and Bliss, N.T. and Chan, J. and Hendry, R. and Bergman, K. and Carloni, Luca P.},
      title = {{P-sync: A Photonically Enabled Architecture for Efficient Non-local Data Access}},
      booktitle = {Parallel Distributed Processing (IPDPS), 2013 IEEE 27th International Symposium on},
      year = {2013},
      month = may,
      pages = {189-200}
    }
    
  • Dynamic Reconfiguration of Wireless Sensor Networks to Support Heterogeneous Applications.
    Marcin Szczodrak, Omprakash Gnawali, and Luca P. Carloni.
    In Proc. of IEEE DCOSS Conf., 2013, 51–61.
    PDF BibTEX 
    @inproceedings{szczodrak_dcoss13,
      author = {Szczodrak, Marcin and Gnawali, Omprakash and Carloni, Luca P.},
      title = {Dynamic Reconfiguration of Wireless Sensor Networks to Support
      				Heterogeneous Applications},
      booktitle = {Proc. of IEEE DCOSS Conf.},
      month = may,
      year = {2013},
      pages = {51--61}
    }
    
  • Prototyping Energy Harvesting Active Networked Tags (EnHANTs).
    Maria Gorlatova, Robert Margolies, John Sarik, Gerald Stanje, Jianxun Zhu, Baradwaj Vigraham, Marcin Szczodrak, Luca P. Carloni, Peter Kinget, Ioannis Kymissis, and Gil Zussman.
    In Proc. IEEE INFOCOM’13 mini-conference, 2013, 585–589.
    PDF BibTEX 
    @inproceedings{gorlatova_infocom13,
      author = {Gorlatova, Maria and Margolies, Robert and Sarik, John and Stanje, Gerald and Zhu, Jianxun and Vigraham, Baradwaj and Szczodrak, Marcin and Carloni, Luca P. and Kinget, Peter and Kymissis, Ioannis and Zussman, Gil},
      title = {Prototyping Energy Harvesting Active Networked Tags (EnHANTs)},
      booktitle = {Proc. IEEE INFOCOM'13 mini-conference},
      month = apr,
      year = {2013},
      pages = {585--589}
    }
    
  • Panel: The heritage of Mead amp; Conway What has remained the same, what was missed, what has changed, what lies ahead.
    M. Casale-Rossi, A. Sangiovanni-Vincentelli, Luca P. Carloni, B. Courtois, H. de Man, A. Domic, and J.M. Rabaey.
    In Proceedings of the Conference on Design, Automation and Test in Europe (DATE), 2013, 171–175.
    PDF BibTEX 
    @inproceedings{date13_panel,
      author = {Casale-Rossi, M. and Sangiovanni-Vincentelli, A. and Carloni, Luca P. and Courtois, B. and de Man, H. and Domic, A. and Rabaey, J.M.},
      title = {{Panel: The heritage of Mead amp; Conway What has remained the same, what was missed, what has changed, what lies ahead}},
      booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe (DATE)},
      year = {2013},
      month = mar,
      pages = {171-175}
    }
    
  • A 2.5D Integrated Voltage Regulator Using Coupled-Magnetic-Core Inductors on Silicon Interposer.
    N. Sturcken, E.J. O’Sullivan, N. Wang, P. Herget, B.C. Webb, L.T. Romankiw, M. Petracca, R. Davies, R.E. Fontana, G.M. Decad, I. Kymissis, A.V. Peterchev, Luca P. Carloni, W.J. Gallagher, and K.L. Shepard.
    IEEE Journal of Solid-State Circuits, vol. 48, no. 1, January 2013, 244–254.
    PDF BibTEX 
    @article{sturcken_jssc13,
      author = {Sturcken, N. and O'Sullivan, E.J. and Wang, N. and Herget, P. and Webb, B.C. and Romankiw, L.T. and Petracca, M. and Davies, R. and Fontana, R.E. and Decad, G.M. and Kymissis, I. and Peterchev, A.V. and Carloni, Luca P. and Gallagher, W.J. and Shepard, K.L.},
      title = {{A 2.5D Integrated Voltage Regulator Using Coupled-Magnetic-Core Inductors on Silicon Interposer}},
      journal = {IEEE Journal of Solid-State Circuits},
      year = {2013},
      month = jan,
      volume = {48},
      number = {1},
      pages = {244-254}
    }
    

2012

  • A broadband embedded computing system for MapReduce utilizing Hadoop.
    In Proceedings of the International Conference on Cloud Computing Technology and Science, 2012, 1–9.
    PDF BibTEX 
    @inproceedings{jung_cloudcom12,
      author = {Jung, YoungHoon and Neill, R. and Carloni, Luca P.},
      booktitle = {Proceedings of the International Conference on Cloud Computing Technology and Science},
      title = {A broadband embedded computing system for MapReduce utilizing Hadoop},
      year = {2012},
      month = dec,
      pages = {1-9}
    }
    
  • Ventti: A vertically integrated framework for simulation and optimization of networks-on-Chip.
    Young Jin Yoon, N. Concer, and Luca P. Carloni.
    In SOC Conference (SOCC), 2012 IEEE International, 2012, 171–176.
    PDF BibTEX 
    @inproceedings{yoon_socc12,
      author = {Yoon, Young Jin and Concer, N. and Carloni, Luca P.},
      title = {{Ventti: A vertically integrated framework for simulation and optimization of networks-on-Chip}},
      booktitle = {SOC Conference (SOCC), 2012 IEEE International},
      year = {2012},
      month = sep,
      pages = {171-176}
    }
    
  • A Switched-Inductor Integrated Voltage Regulator With Nonlinear Feedback and Network-on-Chip Load in 45 nm SOI.
    N. Sturcken, Michele Petracca, S. Warren, Paolo Mantovani, Luca P. Carloni, A.V. Peterchev, and Kenneth L. Shepard.
    IEEE Journal of Solid-State Circuits, vol. 47, no. 8, August 2012, 1935–1945.
    PDF BibTEX 
    @article{sturcken_jssc12,
      author = {Sturcken, N. and Petracca, Michele and Warren, S. and Mantovani, Paolo and Carloni, Luca P. and Peterchev, A.V. and Shepard, Kenneth L.},
      title = {{A Switched-Inductor Integrated Voltage Regulator With Nonlinear Feedback and Network-on-Chip Load in 45 nm SOI}},
      journal = {IEEE Journal of Solid-State Circuits},
      year = {2012},
      month = aug,
      volume = {47},
      number = {8},
      pages = {1935-1945}
    }
    
  • Engineering a Bandwidth-Scalable Optical Layer for a 3D Multi-core Processor with Awareness of Layout Constraints.
    Luca Ramini, Davide Bertozzi, and Luca P. Carloni.
    In Proceedings of the Sixth International Symposium on Networks on Chip (NOCS), 2012, 185–192.
    PDF BibTEX 
    @inproceedings{ramini_nocs12,
      author = {Ramini, Luca and Bertozzi, Davide and Carloni, Luca P.},
      booktitle = {Proceedings of the Sixth International Symposium on Networks on Chip (NOCS)},
      title = {Engineering a Bandwidth-Scalable Optical Layer for a 3D Multi-core Processor with Awareness of Layout Constraints},
      year = {2012},
      month = may,
      pages = {185-192}
    }
    
  • Compositional System-Level Design Exploration with Planning of High-Level Synthesis.
    In Proceedings of the Conference on Design, Automation and Test in Europe (DATE), 2012, 641–646.
    PDF BibTEX 
    @inproceedings{liu_date12,
      author = {Liu, Hung-Yi and Petracca, Michele and Carloni, Luca P.},
      title = {Compositional System-Level Design Exploration with Planning of High-Level Synthesis},
      booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe (DATE)},
      month = mar,
      pages = {641-646},
      year = {2012},
      affiliation = {Columbia, Cablevision Inc.}
    }
    
  • A 2.5D Integrated Voltage Regulator Using Coupled Magnetic Core Inductors on Silicon Interposer Delivering 10.8A/mm2.
    Noah Sturcken, Eugene J. O’Sullivan, Naigang Wang, Philipp Herget, Bucknell Webb, Lubomyr T. Romankiw, Michele Petracca, Ryan Davies, Robert Fontana, Gary Decad, Ioannis Kymissis, Angel V. Peterchev, Luca P. Carloni, William J. Gallagher, and Kenneth L. Shepard.
    In Proceedings of the International Solid-State Circuits Conference (ISSCC), 2012, 400–402.
    PDF BibTEX 
    @inproceedings{sturcken_isscc12,
      author = {Sturcken, Noah and O'Sullivan, Eugene J. and Wang, Naigang and Herget, Philipp and Webb, Bucknell and Romankiw, Lubomyr T. and Petracca, Michele and Davies, Ryan and Fontana, Robert and Decad, Gary and Kymissis, Ioannis and Peterchev, Angel V. and Carloni, Luca P. and Gallagher, William J. and Shepard, Kenneth L.},
      title = {A 2.5D Integrated Voltage Regulator Using Coupled Magnetic Core Inductors on Silicon Interposer Delivering 10.8A/mm2},
      booktitle = {Proceedings of the International Solid-State Circuits Conference (ISSCC)},
      pages = {400-402},
      month = feb,
      year = {2012},
      affiliation = {Columbia, Cablevision Inc.}
    }
    

2011

  • Demo: Organic Solar Cell-equipped Energy Harvesting Active Networked Tag (EnHANT) Prototypes.
    Gerald Stanje, Paul Miller, Jianxun Zhu, Alexander Smith, Olivia Winn, Robert Margolies, Maria Gorlatova, John Sarik, Marcin Szczodrak, Baradwaj Vigraham, Luca P. Carloni, Peter Kinget, Ioannis Kymissis, and Gil Zussman.
    In Proceedings of the ACM/IEEE Conference on Embedded Networked Sensor Systems (SenSys), 2011.
    Best Student Demo Award PDF BibTEX 
    @inproceedings{stanje_sensys11,
      title = {Demo: Organic Solar Cell-equipped Energy Harvesting Active Networked Tag (EnHANT) Prototypes},
      author = {Stanje, Gerald and Miller, Paul and Zhu, Jianxun and Smith, Alexander and Winn, Olivia and Margolies, Robert and Gorlatova, Maria and Sarik, John and Szczodrak, Marcin and Vigraham, Baradwaj and Carloni, Luca P. and Kinget, Peter and Kymissis, Ioannis and Zussman, Gil},
      booktitle = {Proceedings of the ACM/IEEE Conference on Embedded Networked Sensor Systems (SenSys)},
      month = nov,
      year = {2011},
      address = {Seattle, WA, US},
      affiliation = {Columbia}
    }
    
  • Demo: A Complete Framework for Programming Event-Driven, Self-Reconfigurable Low Power Wireless Networks.
    In Proceedings of the ACM/IEEE Conference on Embedded Networked Sensor Systems (SenSys), 2011.
    PDF BibTEX 
    @inproceedings{szczodrak_sensys11,
      author = {Szczodrak, Marcin and Carloni, Luca P.},
      title = {Demo: A Complete Framework for Programming Event-Driven, Self-Reconfigurable Low Power Wireless Networks},
      booktitle = {Proceedings of the ACM/IEEE Conference on Embedded Networked Sensor Systems (SenSys)},
      month = nov,
      year = {2011},
      address = {Seattle, WA, US},
      affiliation = {Columbia}
    }
    
  • Physical-Layer Modeling and System-Level Design of Chip-Scale Photonic Interconnection Networks.
    Johnnie Chan, Gilbert Hendry, Keren Bergman, and Luca P. Carloni.
    IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 30, no. 10, October 2011, 1507–1520.
    PDF BibTEX 
    @article{chan_tcad11,
      author = {Chan, Johnnie and Hendry, Gilbert and Bergman, Keren and Carloni, Luca P.},
      title = {Physical-Layer Modeling and System-Level Design of Chip-Scale Photonic Interconnection Networks},
      journal = {IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems},
      volume = {30},
      number = {10},
      pages = {1507-1520},
      month = oct,
      year = {2011},
      affiliation = {Columbia}
    }
    
  • A Dynamic and Distributed TDM Slot-Scheduling Protocol for QoS-Oriented Networks-on-Chips.
    Nicola Concer, Andrea Vesco, Riccardo Scopigno, and Luca P. Carloni.
    In Proceedings of the International Conference on Computer Design (ICCD), 2011, 162–169.
    PDF BibTEX 
    @inproceedings{concer_iccd11,
      author = {Concer, Nicola and Vesco, Andrea and Scopigno, Riccardo and Carloni, Luca P.},
      title = {A Dynamic and Distributed TDM Slot-Scheduling Protocol for QoS-Oriented Networks-on-Chips},
      month = oct,
      year = {2011},
      booktitle = {Proceedings of the International Conference on Computer Design (ICCD)},
      pages = {162-169},
      address = {Amherst, CA},
      affiliation = {Columbia}
    }
    
  • Embedded Processor Virtualization for Broadband Grid Computing.
    Richard Neill, Luca P. Carloni, Alexander Shabarshin, Valeriy Sigaev, and Serguei Tcherepanov.
    In Proceedings of the 12th IEEE/ACM International Conference on Grid Computing (Grid), 2011, 145–156.
    PDF BibTEX 
    @inproceedings{neill_grid11,
      author = {Neill, Richard and Carloni, Luca P. and Shabarshin, Alexander and Sigaev, Valeriy and Tcherepanov, Serguei},
      title = {Embedded Processor Virtualization for Broadband Grid Computing},
      booktitle = {Proceedings of the 12th IEEE/ACM International Conference on Grid Computing (Grid)},
      month = sep,
      year = {2011},
      pages = {145-156},
      address = {Lyon, France},
      affiliation = {Columbia, Cablevision Inc.}
    }
    
  • Supervised Design Space Exploration by Compositional Approximation of Pareto Sets.
    Hung-Yi Liu, Ilias Diakonikolas, Michele Petracca, and Luca P. Carloni.
    In Proceedings of the Design Automation Conference (DAC), 2011, 399–404.
    PDF BibTEX 
    @inproceedings{liu_dac11,
      author = {Liu, Hung-Yi and Diakonikolas, Ilias and Petracca, Michele and Carloni, Luca P.},
      title = {Supervised Design Space Exploration by Compositional Approximation of {Pareto} Sets},
      month = jun,
      year = {2011},
      pages = {399-404},
      booktitle = {Proceedings of the Design Automation Conference (DAC)},
      address = {San Diego, CA},
      affiliation = {Columbia, UC Berkeley}
    }
    
  • Time-Division-Multiplexed Arbitration in Silicon Nanophotonic Networks-On-Chip for High-Performance Chip Multiprocessors.
    Gilbert Hendry, Eric Robinson, Vitaliy Gleyzer, Johnnie Chan, Luca P. Carloni, Nadya Travinin Bliss, and Keren Bergman.
    Journal of Parallel and Distributed Computing, vol. 71, no. 5, May 2011, 641–650.
    PDF BibTEX 
    @article{hendry_jpds11,
      author = {Hendry, Gilbert and Robinson, Eric and Gleyzer, Vitaliy and Chan, Johnnie and Carloni, Luca P. and Bliss, Nadya Travinin and Bergman, Keren},
      title = {Time-Division-Multiplexed Arbitration in Silicon Nanophotonic Networks-On-Chip for High-Performance Chip Multiprocessors},
      journal = {Journal of Parallel and Distributed Computing},
      volume = {71},
      number = {5},
      pages = {641-650},
      month = may,
      year = {2011},
      affiliation = {Columbia, Lincoln Laboratory}
    }
    
  • Synthesis of Distributed Execution Platforms for Cyber-Physical Systems with Applications to High-Performance Buildings.
    Francesco Leonardi, Alessandro Pinto, and Luca P. Carloni.
    In International Conference on Cyber-Physical Systems (ICCPS), 2011, 215–224.
    PDF BibTEX 
    @inproceedings{leonardi_iccps11,
      author = {Leonardi, Francesco and Pinto, Alessandro and Carloni, Luca P.},
      title = {Synthesis of Distributed Execution Platforms for Cyber-Physical Systems with Applications to High-Performance Buildings},
      booktitle = {International Conference on Cyber-Physical Systems (ICCPS)},
      pages = {215-224},
      month = apr,
      year = {2011},
      address = {Chicago, Illinois},
      affiliation = {Columbia, United Technologies}
    }
    
  • VANDAL: A Tool for the Design Specification of Nanophotonic Networks.
    Gilbert Hendry, Johnnie Chan, Keren Bergman, and Luca P. Carloni.
    In Proceedings of the Conference on Design, Automation and Test in Europe (DATE), 2011, 782–787.
    PDF BibTEX 
    @inproceedings{hendry_date11,
      author = {Hendry, Gilbert and Chan, Johnnie and Bergman, Keren and Carloni, Luca P.},
      title = {VANDAL: A Tool for the Design Specification of Nanophotonic Networks},
      booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe (DATE)},
      pages = {782-787},
      month = mar,
      year = {2011},
      address = {Grenoble, France},
      affiliation = {Columbia}
    }
    
  • An Integrated Four-Phase Buck Converter Delivering 1A/mm2 with 700ps Controller Delay and Network-on-Chip Load in 45-nm SOI.
    Noah Sturcken, Michele Petracca, Steve Warren, Luca P. Carloni, A.V. Peterchev, and Kenneth L. Shepard.
    In Proceedings of the Custom Integrated Circuits Conference (CICC), 2011, 1–4.
    PDF BibTEX 
    @inproceedings{sturcken_cicc11,
      author = {Sturcken, Noah and Petracca, Michele and Warren, Steve and Carloni, Luca P. and Peterchev, A.V. and Shepard, Kenneth L.},
      title = {An Integrated Four-Phase Buck Converter Delivering 1A/mm2 with 700ps Controller Delay and Network-on-Chip Load in 45-nm SOI},
      booktitle = {Proceedings of the Custom Integrated Circuits Conference (CICC)},
      year = {2011},
      pages = {1-4},
      affiliation = {Columbia}
    }
    
  • Demo: prototyping UWB-enabled EnHANTS.
    Jianxun Zhu, Gerald Stanje, Robert Margolies, Maria Gorlatova, John Sarik, Zainab Noorbhaiwala, Paul Miller, Marcin Szczodrak, Baradwaj Vigraham, Luca P. Carloni, Peter R. Kinget, Ioannis Kymissis, and Gil Zussman.
    In Proceedings of the 9th International Conference on Mobile Systems, Applications, and Services (MobiSys), 2011, 387–388.
    PDF BibTEX 
    @inproceedings{zhu_mobisys11,
      author = {Zhu, Jianxun and Stanje, Gerald and Margolies, Robert and Gorlatova, Maria and Sarik, John and Noorbhaiwala, Zainab and Miller, Paul and Szczodrak, Marcin and Vigraham, Baradwaj and Carloni, Luca P. and Kinget, Peter R. and Kymissis, Ioannis and Zussman, Gil},
      title = {Demo: prototyping {UWB}-enabled EnHANTS},
      booktitle = {Proceedings of the 9th International Conference on Mobile Systems, Applications, and Services (MobiSys)},
      year = {2011},
      pages = {387-388},
      affiliation = {Columbia}
    }
    

2010

  • Circuit-Switched Memory Access in Photonic Interconnection Networks for High-Performance Embedded Computing.
    Gilbert Hendry, Eric Robinson, Vitaliy Gleyzer, Johnnie Chan, Luca P. Carloni, Nadya Travinin Bliss, and Keren Bergman.
    In Proceedings of the ACM-IEEE Conference on High Performance Computing, 2010, 1–12.
    PDF BibTEX 
    @inproceedings{hendry_sc10,
      author = {Hendry, Gilbert and Robinson, Eric and Gleyzer, Vitaliy and Chan, Johnnie and Carloni, Luca P. and Bliss, Nadya Travinin and Bergman, Keren},
      title = {Circuit-Switched Memory Access in Photonic Interconnection Networks for High-Performance Embedded Computing},
      month = nov,
      year = {2010},
      booktitle = {Proceedings of the ACM-IEEE Conference on High Performance Computing},
      pages = {1-12},
      address = {New Orleans, LO},
      affiliation = {Columbia}
    }
    
  • Flexible Filters for High-Performance Embedded Computing.
    In Proceedings of the Tenth Annual Workshop on High Performance Embedded Computing (HPEC), 2010.
    PDF BibTEX 
    @inproceedings{collins_hpec10,
      author = {Collins, Rebecca and Carloni, Luca P.},
      title = {Flexible Filters for High-Performance Embedded Computing},
      month = sep,
      year = {2010},
      booktitle = {Proceedings of the Tenth Annual Workshop on High Performance Embedded Computing (HPEC)},
      affiliation = {Columbia}
    }
    
  • Silicon Nanophotonic Network-On-Chip using TDM Arbitration.
    Gilbert Hendry, Johnnie Chan, Shoaib Kamil, Leonid Oliker, John Shalf, Luca P. Carloni, and Keren Bergman.
    In Proceedings of the 18th Annual IEEE Symposium on High-Performance Interconnects (HotI), 2010, 88–95.
    PDF BibTEX 
    @inproceedings{hendry_hoti10,
      author = {Hendry, Gilbert and Chan, Johnnie and Kamil, Shoaib and Oliker, Leonid and Shalf, John and Carloni, Luca P. and Bergman, Keren},
      title = {Silicon Nanophotonic Network-On-Chip using {TDM} Arbitration},
      month = aug,
      year = {2010},
      booktitle = {Proceedings of the 18th Annual IEEE Symposium on High-Performance Interconnects (HotI)},
      pages = {88-95},
      address = {Stanford University, CA},
      affiliation = {Columbia}
    }
    
  • The Connection-then-Credit Flow Control Protocol for Heterogeneous Multi-Core Systems-on-Chip.
    Nicola Concer, Luciano Bononi, Michael Soulie, Riccardo Locatelli, and Luca P. Carloni.
    IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 29, no. 6, June 2010, 869–882.
    PDF BibTEX 
    @article{concer_tcad10,
      author = {Concer, Nicola and Bononi, Luciano and Soulie, Michael and Locatelli, Riccardo and Carloni, Luca P.},
      title = {The Connection-then-Credit Flow Control Protocol for Heterogeneous Multi-Core Systems-on-Chip},
      journal = {IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems},
      volume = {29},
      number = {6},
      pages = {869-882},
      month = jun,
      year = {2010},
      affiliation = {Columbia, Univ. of Bologna, STMicroelectronics}
    }
    
  • Virtual Channels vs. Multiple Physical Networks: A Comparative Analysis.
    In Proceedings of the Design Automation Conference (DAC), 2010, 162–165.
    PDF BibTEX 
    @inproceedings{yoon_dac10,
      author = {Yoon, Young-Jin and Concer, Nicola and Petracca, Michele and Carloni, Luca P.},
      title = {Virtual Channels vs. Multiple Physical Networks: A Comparative Analysis},
      month = jun,
      year = {2010},
      booktitle = {Proceedings of the Design Automation Conference (DAC)},
      pages = {162-165},
      address = {Anaheim, CA},
      affiliation = {Columbia}
    }
    
  • A Heterogeneous Parallel System Running Open MPI on a Broadband Network of Embedded Set-Top Devices.
    Richard Neill, Alexander Shabarshin, and Luca P. Carloni.
    In Proceedings of the International Conference on Computing Frontiers (CF), 2010, 187–196.
    PDF BibTEX 
    @inproceedings{neill_cf10,
      author = {Neill, Richard and Shabarshin, Alexander and Carloni, Luca P.},
      title = {A Heterogeneous Parallel System Running {Open MPI} on a Broadband Network of Embedded Set-Top Devices},
      booktitle = {Proceedings of the International Conference on Computing Frontiers (CF)},
      month = may,
      year = {2010},
      pages = {187-196},
      address = {Bertinoro, Italy},
      affiliation = {Columbia, Cablevision Inc.}
    }
    
  • Accurate Predictive Interconnect Modeling for System-Level Design.
    Luca P. Carloni, Andrew B. Kahng, Sudhakar Muddu, Alessandro Pinto, Kambiz Samadi, and Puneet Sharma.
    IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 18, no. 4, April 2010, 679–684.
    PDF BibTEX 
    @article{carloni_tvlsi10,
      author = {Carloni, Luca P. and Kahng, Andrew B. and Muddu, Sudhakar and Pinto, Alessandro and Samadi, Kambiz and Sharma, Puneet},
      title = {Accurate Predictive Interconnect Modeling for System-Level Design},
      journal = {IEEE Transactions on Very Large Scale Integration (VLSI) Systems},
      volume = {18},
      number = {4},
      pages = {679-684},
      month = apr,
      year = {2010},
      affiliation = {UC Berkeley, UCSD, Columbia}
    }
    
  • Exploiting Local Logic Structures to Optimize Multi-Core SoC Floorplanning.
    Cheng-Hong Li, Sampada Sonalkar, and Luca P. Carloni.
    In Proceedings of the Conference on Design, Automation and Test in Europe (DATE), 2010, 1291–1296.
    PDF BibTEX 
    @inproceedings{li_date10,
      author = {Li, Cheng-Hong and Sonalkar, Sampada and Carloni, Luca P.},
      title = {Exploiting Local Logic Structures to Optimize Multi-Core {SoC} Floorplanning},
      booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe (DATE)},
      month = mar,
      year = {2010},
      pages = {1291-1296},
      address = {Dresden, Germany},
      affiliation = {Columbia}
    }
    
  • PhoenixSim: A Simulator for Physical-Layer Analysis of Chip-Scale Photonic Interconnection Networks.
    Johnnie Chan, Gilbert Hendry, Aleksandr Biberman, Keren Bergman, and Luca P. Carloni.
    In Proceedings of the Conference on Design, Automation and Test in Europe (DATE), 2010, 691–696.
    PDF BibTEX 
    @inproceedings{chan_date10,
      author = {Chan, Johnnie and Hendry, Gilbert and Biberman, Aleksandr and Bergman, Keren and Carloni, Luca P.},
      title = {{PhoenixSim}: A Simulator for Physical-Layer Analysis of Chip-Scale Photonic Interconnection Networks},
      booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe (DATE)},
      month = mar,
      year = {2010},
      pages = {691-696},
      address = {Dresden, Germany},
      affiliation = {Columbia}
    }
    
  • Recursion-Driven Parallel Code Generation for Multi-Core Platforms.
    Rebecca Collins, Bharadwaj Vellore, and Luca P. Carloni.
    In Proceedings of the Conference on Design, Automation and Test in Europe (DATE), 2010, 190–195.
    PDF BibTEX 
    @inproceedings{collins_date10,
      author = {Collins, Rebecca and Vellore, Bharadwaj and Carloni, Luca P.},
      title = {Recursion-Driven Parallel Code Generation for Multi-Core Platforms},
      booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe (DATE)},
      month = mar,
      year = {2010},
      pages = {190-195},
      address = {Dresden, Germany},
      affiliation = {Columbia}
    }
    

2009

  • Flexible Filters: Load Balancing through Backpressure for Stream Programs.
    In Proceedings of the International Conference on Embedded Software (EMSOFT), 2009, 205–214.
    PDF BibTEX 
    @inproceedings{collins_emsoft09,
      author = {Collins, Rebecca and Carloni, Luca P.},
      title = {Flexible Filters: Load Balancing through Backpressure for Stream Programs},
      month = oct,
      year = {2009},
      booktitle = {Proceedings of the International Conference on Embedded Software (EMSOFT)},
      pages = {205-214},
      address = {Grenoble, France},
      affiliation = {Columbia}
    }
    
  • Photonic NoCs: System-Level Design Exploration.
    Michele Petracca, Benjamin G. Lee, Keren Bergman, and Luca P. Carloni.
    IEEE Micro, vol. 29, no. 4, July 2009, 74–85.
    PDF BibTEX 
    @article{petracca_ieeemicro09,
      author = {Petracca, Michele and Lee, Benjamin G. and Bergman, Keren and Carloni, Luca P.},
      title = {Photonic {NoCs}: System-Level Design Exploration},
      journal = {IEEE Micro},
      volume = {29},
      number = {4},
      pages = {74-85},
      month = jul,
      year = {2009},
      affiliation = {UC Berkeley}
    }
    
  • CTC: An End-To-End Flow Control Protocol for Multi-Core Systems-on-Chip.
    Nicola Concer, Luciano Bononi, Michael Soulie, Riccardo Locatelli, and Luca P. Carloni.
    In Proceedings of the Third International Symposium on Networks-on-Chip (NOCS), 2009, 193–202.
    PDF BibTEX 
    @inproceedings{concer_nocs09,
      author = {Concer, Nicola and Bononi, Luciano and Soulie, Michael and Locatelli, Riccardo and Carloni, Luca P.},
      title = {{CTC:} An End-To-End Flow Control Protocol for Multi-Core Systems-on-Chip},
      month = may,
      year = {2009},
      booktitle = {Proceedings of the Third International Symposium on Networks-on-Chip (NOCS)},
      pages = {193-202},
      address = {San Diego, CA},
      affiliation = {Columbia, UC Berkeley}
    }
    
  • Analysis of Photonic Networks for a Chip Multi-Processor Using Scientific Applications.
    Gilbert Hendry, Shoaib Kamil, Aleksandr Biberman, Johnnie Chan, Benjamin G. Lee, Marghoob Mohiyuddin, Ankit Jain, Keren Bergman, Luca P. Carloni, John Kubiatowicz, Leonid Oliker, and John Shalf.
    In Proceedings of the Third International Symposium on Networks-on-Chip (NOCS), 2009, 104–113.
    PDF BibTEX 
    @inproceedings{hendry_nocs09,
      author = {Hendry, Gilbert and Kamil, Shoaib and Biberman, Aleksandr and Chan, Johnnie and Lee, Benjamin G. and Mohiyuddin, Marghoob and Jain, Ankit and Bergman, Keren and Carloni, Luca P. and Kubiatowicz, John and Oliker, Leonid and Shalf, John},
      title = {Analysis of Photonic Networks for a Chip Multi-Processor Using Scientific Applications},
      month = may,
      year = {2009},
      booktitle = {Proceedings of the Third International Symposium on Networks-on-Chip (NOCS)},
      pages = {104-113},
      address = {San Diego, CA},
      affiliation = {Columbia, UC Berkeley}
    }
    
  • Networks-on-Chip in Emerging Interconnect Paradigms: Advantages and Challenges.
    Luca P. Carloni, Partha Pande, and Yuan Xie.
    In Proceedings of the Third International Symposium on Networks-on-Chip (NOCS), 2009, 93–102.
    PDF BibTEX 
    @inproceedings{carloni_nocs09,
      author = {Carloni, Luca P. and Pande, Partha and Xie, Yuan},
      title = {Networks-on-Chip in Emerging Interconnect Paradigms: Advantages and Challenges},
      month = may,
      year = {2009},
      booktitle = {Proceedings of the Third International Symposium on Networks-on-Chip (NOCS)},
      pages = {93-102},
      address = {San Diego, CA},
      affiliation = {Columbia, UC Berkeley}
    }
    
  • A Case Study in Distributed Deployment of Embedded Software for Camera Networks.
    Francesco Leonardi, Alessandro Pinto, and Luca P. Carloni.
    In Proceedings of the Conference on Design, Automation and Test in Europe (DATE), 2009, 1006–1011.
    PDF BibTEX 
    @inproceedings{leonardi_date09,
      author = {Leonardi, Francesco and Pinto, Alessandro and Carloni, Luca P.},
      title = {A Case Study in Distributed Deployment of Embedded Software for Camera Networks},
      booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe (DATE)},
      pages = {1006-1011},
      month = apr,
      year = {2009},
      address = {Nice, France},
      affiliation = {UC Berkeley, Columbia}
    }
    
  • A Methodology for Constrained-Driven Synthesis of On-Chip Communications.
    Alessandro Pinto, Luca P. Carloni, and Alberto L. Sangiovanni-Vincentelli.
    IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 28, no. 3, March 2009, 364–377.
    PDF BibTEX 
    @article{pinto_tcad09,
      author = {Pinto, Alessandro and Carloni, Luca P. and Sangiovanni-Vincentelli, Alberto L.},
      title = {A Methodology for Constrained-Driven Synthesis of On-Chip Communications},
      journal = {IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems},
      volume = {28},
      number = {3},
      pages = {364-377},
      month = mar,
      year = {2009},
      affiliation = {UC Berkeley, Columbia}
    }
    
  • Leveraging Local Intra-Core Information to Increase Global Performance in Block-Based Design of Systems-on-Chip.
    Cheng-Hong Li and Luca P. Carloni.
    IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 28, no. 2, February 2009, 165–178.
    PDF BibTEX 
    @article{li_tcad09,
      author = {Li, Cheng-Hong and Carloni, Luca P.},
      title = {Leveraging Local Intra-Core Information to Increase Global Performance in Block-Based Design of Systems-on-Chip},
      journal = {IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems},
      volume = {28},
      number = {2},
      pages = {165-178},
      month = feb,
      year = {2009},
      affiliation = {Columbia}
    }
    

2008

  • Topology-Based Performance Analysis and Optimization of Latency-Insensitive Systems.
    IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 27, no. 12, December 2008, 2277–2290.
    PDF BibTEX 
    @article{collins_tcad08,
      author = {Collins, Rebecca and Carloni, Luca P.},
      title = {Topology-Based Performance Analysis and Optimization of Latency-Insensitive Systems},
      journal = {IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems},
      volume = {27},
      number = {12},
      pages = {2277-2290},
      month = dec,
      year = {2008},
      affiliation = {Columbia}
    }
    
  • Distributed Flit-Buffer Flow Control for Networks-on-Chip.
    In Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), 2008, 215–220.
    PDF BibTEX 
    @inproceedings{concer_codesIsss08,
      author = {Concer, Nicola and Petracca, Michele and Carloni, Luca P.},
      title = {Distributed Flit-Buffer Flow Control for Networks-on-Chip},
      booktitle = {Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS)},
      month = oct,
      year = {2008},
      pages = {215-220},
      address = {Atlanta, GA}
    }
    
  • COSI: A Framework for the Design of Interconnection Networks.
    Alessandro Pinto, Luca P. Carloni, and Alberto L. Sangiovanni-Vincentelli.
    IEEE Design & Test of Computers, vol. 25, no. 5, September 2008, 402–415.
    PDF BibTEX 
    @article{pinto_ieeedt08,
      author = {Pinto, Alessandro and Carloni, Luca P. and Sangiovanni-Vincentelli, Alberto L.},
      title = {{COSI}: A Framework for the Design of Interconnection Networks},
      journal = {IEEE Design \& Test of Computers},
      volume = {25},
      number = {5},
      pages = {402-415},
      month = sep,
      year = {2008},
      annote = {Special Issue on Network-on-Chip},
      affiliation = {UC Berkeley, Columbia}
    }
    
  • Photonic Networks-on-Chip for Future Generations of Chip Multi-Processors.
    Assaf Shacham, Keren Bergman, and Luca P. Carloni.
    IEEE Transactions on Computers, vol. 57, no. 9, September 2008, 1246–1260.
    PDF BibTEX 
    @article{shacham_tcomp08,
      author = {Shacham, Assaf and Bergman, Keren and Carloni, Luca P.},
      title = {Photonic Networks-on-Chip for Future Generations of Chip Multi-Processors},
      journal = {IEEE Transactions on Computers},
      volume = {57},
      number = {9},
      pages = {1246-1260},
      month = sep,
      year = {2008},
      affiliation = {Columbia}
    }
    
  • Photonic Many-Core Architecture Study.
    Nadya Travinin Bliss, Krste Asanovic, Keren Bergman, Luca Carloni, Jeremy Kepner, and Vladimir Stojanovic.
    In Proceedings of the Eleventh Annual Workshop on High Performance Embedded Computing (HPEC), 2008.
    PDF BibTEX 
    @inproceedings{bliss_hpec08,
      author = {Bliss, Nadya Travinin and Asanovic, Krste and Bergman, Keren and Carloni, Luca and Kepner, Jeremy and Stojanovic, Vladimir},
      title = {Photonic Many-Core Architecture Study},
      month = sep,
      year = {2008},
      booktitle = {Proceedings of the Eleventh Annual Workshop on High Performance Embedded Computing (HPEC)},
      address = {Lexington, MA},
      affiliation = {Columbia, MIT, MIT-LL, UCB}
    }
    
  • Design Exploration of Optical Interconnection Networks for Chip Multiprocessors.
    Michele Petracca, Benjamin G. Lee, Keren Bergman, and Luca P. Carloni.
    In Proceedings of the 16th Annual IEEE Symposium on High-Performance Interconnects (HotI), 2008, 31–40.
    PDF BibTEX 
    @inproceedings{petracca_hoti08,
      author = {Petracca, Michele and Lee, Benjamin G. and Bergman, Keren and Carloni, Luca P.},
      title = {Design Exploration of Optical Interconnection Networks for Chip Multiprocessors},
      month = aug,
      year = {2008},
      booktitle = {Proceedings of the 16th Annual IEEE Symposium on High-Performance Interconnects (HotI)},
      pages = {31--40},
      address = {Stanford University, CA},
      affiliation = {Columbia}
    }
    
  • Composing Heterogeneous Reactive Systems.
    Albert Benveniste, Benoit Caillaud, Luca P. Carloni, Paul Caspi, and Alberto L. Sangiovanni-Vincentelli.
    ACM Transactions on Embedded Computing Systems, vol. 7, no. 4, July 2008, 1–36.
    PDF BibTEX 
    @article{benveniste_tecs08,
      author = {Benveniste, Albert and Caillaud, Benoit and Carloni, Luca P. and Caspi, Paul and Sangiovanni-Vincentelli, Alberto L.},
      title = {Composing Heterogeneous Reactive Systems},
      journal = {ACM Transactions on Embedded Computing Systems},
      volume = {7},
      number = {4},
      pages = {1-36},
      month = jul,
      year = {2008},
      affiliation = {Columbia, Irisa, Inria, UC Berkeley, Verimag}
    }
    
  • Fault-Tolerant Distributed Deployment of Embedded Control Software.
    Claudio Pinello, Luca P. Carloni, and Alberto L. Sangiovanni-Vincentelli.
    IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 27, no. 5, May 2008, 906–919.
    PDF BibTEX 
    @article{pinello_tcad08,
      author = {Pinello, Claudio and Carloni, Luca P. and Sangiovanni-Vincentelli, Alberto L.},
      title = {Fault-Tolerant Distributed Deployment of Embedded Control Software},
      journal = {IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems},
      volume = {27},
      number = {5},
      pages = {906-919},
      month = may,
      year = {2008},
      affiliation = {Columbia, General Motors, UC Berkeley}
    }
    
  • Photonic Networks-on-Chip: Opportunities and Challenges.
    Michele Petracca, Keren Bergman, and Luca P. Carloni.
    In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), 2008, 2789–2792.
    PDF BibTEX 
    @inproceedings{petracca_iscas08,
      author = {Petracca, Michele and Bergman, Keren and Carloni, Luca P.},
      title = {Photonic Networks-on-Chip: Opportunities and Challenges},
      month = may,
      year = {2008},
      booktitle = {Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS)},
      pages = {2789-2792},
      address = {Seatte, WA},
      annote = {Invited paper},
      affiliation = {Columbia}
    }
    
  • Nanophotonic Optical Interconnection Network Architecture for On-Chip and Off-Chip Communications.
    Howard Wang, Michele Petracca, Aleksandr Biberman, Benjamin G. Lee, Luca P. Carloni, and Keren Bergman.
    In Proceedings of the Optical Fiber Communication / National Fiber Optic Engineers Conference (OFC/NFOEC), 2008.
    PDF BibTEX 
    @inproceedings{wang_ofcnfoec08,
      author = {Wang, Howard and Petracca, Michele and Biberman, Aleksandr and Lee, Benjamin G. and Carloni, Luca P. and Bergman, Keren},
      title = {Nanophotonic Optical Interconnection Network Architecture for On-Chip and Off-Chip Communications},
      month = feb,
      year = {2008},
      booktitle = {Proceedings of the Optical Fiber Communication / National Fiber Optic Engineers Conference (OFC/NFOEC)},
      address = {San Diego, CA},
      annote = {extended abstract},
      affiliation = {Columbia}
    }
    
  • Interconnect modeling for improved system-level design optimization.
    Luca P. Carloni, Andrew B. Kahng, Sudhakar Muddu, Alessandro Pinto, Kambiz Samadi, and Puneet Sharma.
    In Proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC), 2008, 258–264.
    PDF BibTEX 
    @inproceedings{carloni_aspdac08,
      author = {Carloni, Luca P. and Kahng, Andrew B. and Muddu, Sudhakar and Pinto, Alessandro and Samadi, Kambiz and Sharma, Puneet},
      title = {Interconnect modeling for improved system-level design optimization},
      booktitle = {Proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC)},
      year = {2008},
      pages = {258--264},
      location = {Seoul, Korea},
      address = {Los Alamitos, CA, USA}
    }
    

2007

  • Using Functional Independence Conditions to Optimize the Performance of Latency-Insensitive Systems.
    Cheng-Hong Li and Luca P. Carloni.
    In Proceedings of the International Conference on Computer-Aided Design (ICCAD), 2007, 32–39.
    PDF BibTEX 
    @inproceedings{li_iccad07,
      author = {Li, Cheng-Hong and Carloni, Luca P.},
      title = {Using Functional Independence Conditions to Optimize the Performance of Latency-Insensitive Systems},
      month = nov,
      year = {2007},
      booktitle = {Proceedings of the International Conference on Computer-Aided Design (ICCAD)},
      pages = {32--39},
      address = {San Jose, CA},
      affiliation = {Columbia}
    }
    
  • A Communication Synthesis Infrastructure for Heterogeneous Networked Control Systems and Its Application to Building Automation and Control.
    Alessandro Pinto, Luca P. Carloni, and Alberto L. Sangiovanni-Vincentelli.
    In Proceedings of the International Conference on Embedded Software (EMSOFT), 2007, 21–29.
    PDF BibTEX 
    @inproceedings{pinto_emsoft07,
      author = {Pinto, Alessandro and Carloni, Luca P. and Sangiovanni-Vincentelli, Alberto L.},
      title = {A Communication Synthesis Infrastructure for Heterogeneous Networked Control Systems and Its Application to Building Automation and Control},
      month = oct,
      year = {2007},
      booktitle = {Proceedings of the International Conference on Embedded Software (EMSOFT)},
      editor = {Kirsch, C. and Wilhelm, R.},
      pages = {21-29},
      address = {Salzburg, Austria},
      affiliation = {Columbia, UC Berkeley}
    }
    
  • On-Chip Photonic Communication for High-Performance Multi-Core Processors.
    Keren Bergman and Luca P. Carloni.
    In Proceedings of the Eleventh Annual Workshop on High Performance Embedded Computing (HPEC), 2007.
    Best paper award PDF BibTEX 
    @inproceedings{bergman_hpec07,
      author = {Bergman, Keren and Carloni, Luca P.},
      title = {On-Chip Photonic Communication for High-Performance Multi-Core Processors},
      month = sep,
      year = {2007},
      booktitle = {Proceedings of the Eleventh Annual Workshop on High Performance Embedded Computing (HPEC)},
      address = {Lexington, MA},
      affiliation = {Columbia}
    }
    
  • Photonic NoC for DMA Communications in Chip Multiprocessors.
    Assaf Shacham, Benjamin G. Lee, Aleksandr Biberman, Keren Bergman, and Luca P. Carloni.
    In Proceedings of the 15th Annual IEEE Symposium on High-Performance Interconnects (HotI), 2007, 29–38.
    PDF BibTEX 
    @inproceedings{shacham_hoti07,
      author = {Shacham, Assaf and Lee, Benjamin G. and Biberman, Aleksandr and Bergman, Keren and Carloni, Luca P.},
      title = {Photonic {NoC for DMA} Communications in Chip Multiprocessors},
      month = aug,
      year = {2007},
      booktitle = {Proceedings of the 15th Annual IEEE Symposium on High-Performance Interconnects (HotI)},
      pages = {29-38},
      address = {Stanford University, CA},
      affiliation = {Columbia}
    }
    
  • Topology-Based Optimization of Maximal Sustainable Throughput in a Latency-Insensitive System.
    In Proceedings of the Design Automation Conference (DAC), 2007, 410–416.
    PDF BibTEX 
    @inproceedings{collins_dac07,
      author = {Collins, Rebecca and Carloni, Luca P.},
      title = {Topology-Based Optimization of Maximal Sustainable Throughput in a Latency-Insensitive System},
      month = jun,
      year = {2007},
      booktitle = {Proceedings of the Design Automation Conference (DAC)},
      pages = {410-416},
      address = {San Diego, CA},
      affiliation = {Columbia}
    }
    
  • The Case for Low-Power Photonic Networks-on-Chip.
    Assaf Shacham, Keren Bergman, and Luca P. Carloni.
    In Proceedings of the Design Automation Conference (DAC), 2007, 132–135.
    PDF BibTEX 
    @inproceedings{shacham_dac07,
      author = {Shacham, Assaf and Bergman, Keren and Carloni, Luca P.},
      title = {The Case for Low-Power Photonic Networks-on-Chip},
      month = jun,
      year = {2007},
      booktitle = {Proceedings of the Design Automation Conference (DAC)},
      pages = {132-135},
      address = {San Diego, CA},
      affiliation = {Columbia}
    }
    
  • Design, Implementation, and Validation of a New Class of Interface Circuits for Latency-Insensitive Design.
    Cheng-Hong Li, Rebecca Collins, Sampada Sonalkar, and Luca P. Carloni.
    In Proceedings of the Fifth ACM-IEEE International Conference on Formal Methods and Models for Codesign (MEMOCODE), 2007, 13–22.
    PDF BibTEX 
    @inproceedings{li_memocode07,
      author = {Li, Cheng-Hong and Collins, Rebecca and Sonalkar, Sampada and Carloni, Luca P.},
      title = {Design, Implementation, and Validation of a New Class of Interface Circuits for Latency-Insensitive Design},
      month = jun,
      year = {2007},
      booktitle = {Proceedings of the Fifth ACM-IEEE International Conference on Formal Methods and Models for Codesign (MEMOCODE)},
      pages = {13-22},
      address = {Nice, France},
      affiliation = {Columbia}
    }
    
  • On the Design of a Photonic Network-on-Chip.
    Assaf Shacham, Keren Bergman, and Luca P. Carloni.
    In Proceedings of the First International Symposium on Networks-on-Chip (NOCS), 2007, 53–64.
    PDF BibTEX 
    @inproceedings{shacham_nocs07,
      author = {Shacham, Assaf and Bergman, Keren and Carloni, Luca P.},
      title = {On the Design of a Photonic Network-on-Chip},
      month = may,
      year = {2007},
      booktitle = {Proceedings of the First International Symposium on Networks-on-Chip (NOCS)},
      pages = {53-64},
      address = {Princeton, NJ},
      affiliation = {Columbia}
    }
    

2006

  • Communication by Sampling in Time-Sensitive Distributed Systems.
    Albert Benveniste, Benoit Caillaud, Luca P. Carloni, Paul Caspi, Alberto L. Sangiovanni-Vincentelli, and S. Tripakis.
    In Proceedings of the International Conference on Embedded Software (EMSOFT), 2006, 152–160.
    PDF BibTEX 
    @inproceedings{benveniste_emsoft06,
      author = {Benveniste, Albert and Caillaud, Benoit and Carloni, Luca P. and Caspi, Paul and Sangiovanni-Vincentelli, Alberto L. and Tripakis, S.},
      title = {Communication by Sampling in Time-Sensitive Distributed Systems},
      month = oct,
      year = {2006},
      booktitle = {Proceedings of the International Conference on Embedded Software (EMSOFT)},
      editor = {Min, S. L. and Yi, W.},
      pages = {152-160},
      address = {Seoul, Korea},
      affiliation = {Columbia, Irisa, Inria, Verimag, UC Berkeley, Cadence}
    }
    
  • Maximizing GFLOPS-per-Watt: High-Bandwidth, Low Power Photonic On-Chip Networks.
    Assaf Shacham, Keren Bergman, and Luca P. Carloni.
    In Third Watson Conference on Interaction between Architecture, Circuits, and Compilers (P=ac^2), 2006.
    PDF BibTEX 
    @inproceedings{shacham_pac2_06,
      author = {Shacham, Assaf and Bergman, Keren and Carloni, Luca P.},
      title = {Maximizing {GFLOPS-per-Watt}: High-Bandwidth, Low Power Photonic On-Chip Networks},
      month = sep,
      year = {2006},
      booktitle = {Third Watson Conference on Interaction between Architecture, Circuits, and Compilers (P=ac^2)},
      address = {Yorktown Heights, NY},
      affiliation = {Columbia}
    }
    
  • Platform-Based Design for Wireless Sensor Networks.
    Alvise Bonivento, Luca P. Carloni, and Alberto L. Sangiovanni-Vincentelli.
    Mobile Networks and Applications, The Journal of Special Issues on Mobility of Systems, Users, Data and Computing, vol. 11, no. 4, August 2006, 469–485.
    PDF BibTEX 
    @article{bonivento_monet06,
      author = {Bonivento, Alvise and Carloni, Luca P. and Sangiovanni-Vincentelli, Alberto L.},
      title = {Platform-Based Design for Wireless Sensor Networks},
      journal = {Mobile Networks and Applications, The Journal of Special Issues on Mobility of  Systems, Users, Data and Computing},
      volume = {11},
      number = {4},
      month = aug,
      year = {2006},
      pages = {469-485},
      affiliation = {Columbia, UC Berkeley}
    }
    
  • Languages and Tools for Hybrid Systems Design.
    Luca P. Carloni, Roberto Passerone, Alessandro Pinto, and Alberto L. Sangiovanni-Vincentelli.
    Foundations and Trends in Electronic Design Automation, vol. 1, no. 1-2, July 2006, 1–194.
    PDF BibTEX 
    @article{carloni_now06,
      author = {Carloni, Luca P. and Passerone, Roberto and Pinto, Alessandro and Sangiovanni-Vincentelli, Alberto L.},
      title = {Languages and Tools for Hybrid Systems Design},
      journal = {Foundations and Trends in Electronic Design Automation},
      volume = {1},
      number = {1-2},
      pages = {1-194},
      month = jul,
      year = {2006},
      address = {Delft, The Netherlands},
      publisher = {now publishers},
      affiliation = {Columbia, UC Berkeley, Cadence}
    }
    
  • A Framework for Modeling the Distributed Deployment of Synchronous Designs.
    Luca P. Carloni and Alberto L. Sangiovanni-Vincentelli.
    Journal of Formal Methods in System Design, vol. 28, no. 2, March 2006, 93–110.
    PDF BibTEX 
    @article{carloni_jfmsd06,
      author = {Carloni, Luca P. and Sangiovanni-Vincentelli, Alberto L.},
      title = {A Framework for Modeling the Distributed Deployment of Synchronous Designs},
      journal = {Journal of Formal Methods in System Design},
      volume = {28},
      number = {2},
      pages = {93-110},
      month = mar,
      year = {2006},
      annote = {Special Issue on Formal Methods for Globally Asynchronous and Locally Synchronous Design},
      affiliation = {Columbia University}
    }
    
  • Interchange Formats for Hybrid Systems: Abstract Semantics.
    Alessandro Pinto, Luca P. Carloni, Roberto Passerone, and Alberto L. Sangiovanni-Vincentelli.
    In Proceedings of the 9th International Workshop on Hybrid Systems: Computation and Control (HSCC), 2006, 491–506.
    PDF BibTEX 
    @inproceedings{pinto_hscc06,
      author = {Pinto, Alessandro and Carloni, Luca P. and Passerone, Roberto and Sangiovanni-Vincentelli, Alberto L.},
      title = {Interchange Formats for Hybrid Systems: Abstract Semantics},
      series = {Lecture Notes in Computer Science},
      booktitle = {Proceedings of the 9th International Workshop on Hybrid Systems: Computation and Control (HSCC)},
      editor = {Hespanha, J. P. and Tiwari, A.},
      volume = {3927},
      month = mar,
      year = {2006},
      pages = {491-506},
      address = {Santa Barbara, California},
      affiliation = {Columbia, UC Berkeley, University of Trento}
    }
    
  • Platform-Based Design of Wireless Sensor Networks for Industrial Applications.
    Alvise Bonivento, Luca P. Carloni, and Alberto L. Sangiovanni-Vincentelli.
    In Proceedings of the Conference on Design, Automation and Test in Europe (DATE), 2006, 1103–1107.
    PDF BibTEX 
    @inproceedings{bonivento_date06,
      author = {Bonivento, Alvise and Carloni, Luca P. and Sangiovanni-Vincentelli, Alberto L.},
      title = {Platform-Based Design of Wireless Sensor Networks for Industrial Applications},
      booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe (DATE)},
      pages = {1103-1107},
      month = feb,
      year = {2006},
      address = {Munich, Germany},
      annote = {Invited Paper for Special Session},
      affiliation = {UC Berkeley, Columbia}
    }
    
  • Interchange Semantics for Hybrid System Models.
    Alessandro Pinto, Luca P. Carloni, Roberto Passerone, and Alberto L. Sangiovanni-Vincentelli.
    In Proceedings of the 5th MATHMOD, 2006.
    PDF BibTEX 
    @inproceedings{pinto_mathmod06,
      author = {Pinto, Alessandro and Carloni, Luca P. and Passerone, Roberto and Sangiovanni-Vincentelli, Alberto L.},
      title = {Interchange Semantics for Hybrid System Models},
      series = {ARGESIM-Reports},
      booktitle = {Proceedings of the 5th MATHMOD},
      editor = {Troch, I. and Breitenecker, F.},
      month = feb,
      year = {2006},
      address = {Vienna, Austria},
      affiliation = {Columbia, UC Berkeley, University of Trento}
    }
    
  • The Role of Back-Pressure in Implementing Latency-Insensitive Design.
    In Second International Workshop on Formal Methods for Globally Asynchronous Locally Synchronous Architectures (FMGALS ’05), 2006, 61–80.
    PDF BibTEX 
    @inproceedings{carloni_fmgals05,
      author = {Carloni, Luca P.},
      title = {The Role of Back-Pressure in Implementing Latency-Insensitive Design},
      booktitle = {Second International Workshop on Formal Methods for Globally Asynchronous Locally Synchronous Architectures (FMGALS '05)},
      series = {Electronic Notes on Theoretical Computer Science},
      editor = {Singh, M. and Talpin, J.P.},
      volume = {146(2)},
      year = {2006},
      pages = {61-80},
      ee = {http://dx.doi.org/10.1016/j.entcs.2005.05.036},
      bibsource = {DBLP, http://dblp.uni-trier.de},
      affiliation = {Columbia University}
    }
    

2005

  • Tag Machines.
    Albert Benveniste, Benoit Caillaud, Luca P. Carloni, and Alberto L. Sangiovanni-Vincentelli.
    In Proceedings of the International Conference on Embedded Software (EMSOFT), 2005, 255–263.
    PDF BibTEX 
    @inproceedings{benveniste_emsoft05,
      author = {Benveniste, Albert and Caillaud, Benoit and Carloni, Luca P. and Sangiovanni-Vincentelli, Alberto L.},
      title = {Tag Machines},
      month = sep,
      year = {2005},
      booktitle = {Proceedings of the International Conference on Embedded Software (EMSOFT)},
      editor = {Wolf, W. and Taha, W.},
      pages = {255-263},
      address = {Jersey City, NY},
      affiliation = {Columbia, Irisa, Inria, UC Berkeley}
    }
    
  • Rialto: A Bridge between Description and Implementation of Control Algorithms for Wireless Sensor Networks.
    Alvise Bonivento, Luca P. Carloni, and Alberto L. Sangiovanni-Vincentelli.
    In Proceedings of the International Conference on Embedded Software (EMSOFT), 2005, 183–186.
    PDF BibTEX 
    @inproceedings{bonivento_emsoft05,
      author = {Bonivento, Alvise and Carloni, Luca P. and Sangiovanni-Vincentelli, Alberto L.},
      title = {Rialto: {A} Bridge between Description and Implementation of Control Algorithms for Wireless Sensor Networks},
      month = sep,
      year = {2005},
      booktitle = {Proceedings of the International Conference on Embedded Software (EMSOFT)},
      editor = {Wolf, W. and Taha, W.},
      pages = {183-186},
      address = {Jersey City, NY},
      affiliation = {Irisa, Inria, UC Berkeley, Verimag}
    }
    
  • Platform-Based Design for Embedded Systems.
    Luca P. Carloni, Fernando De Bernardinis, Claudio Pinello, Alberto L. Sangiovanni-Vincentelli, and Marco Sgroi.
    In The Embedded Systems Handbook, 2005.
    PDF BibTEX 
    @incollection{carloni_platform05_ies,
      author = {Carloni, Luca P. and Bernardinis, Fernando De and Pinello, Claudio and Sangiovanni-Vincentelli, Alberto L. and Sgroi, Marco},
      title = {Platform-Based Design for Embedded Systems},
      booktitle = {The Embedded Systems Handbook},
      series = {Industrial Information Technology Series},
      publisher = {CRC Press},
      address = {Florida},
      editor = {Zurawski, R.},
      chapter = {22},
      month = aug,
      year = {2005}
    }
    
  • Interchange Formats for Hybrid Systems: Review and Proposal.
    Alessandro Pinto, Alberto L. Sangiovanni-Vincentelli, Luca P. Carloni, and Roberto Passerone.
    In Proceedings of the 8th International Workshop on Hybrid Systems : Computation and Control (HSCC), 2005, 526–541.
    PDF BibTEX 
    @inproceedings{pinto_hscc05,
      author = {Pinto, Alessandro and Sangiovanni-Vincentelli, Alberto L. and Carloni, Luca P. and Passerone, Roberto},
      title = {Interchange Formats for Hybrid Systems: Review and Proposal},
      series = {Lecture Notes in Computer Science},
      booktitle = {Proceedings of the 8th International Workshop on Hybrid Systems : Computation and Control (HSCC)},
      editor = {Morari, M. and Thiele, L. and Rossi, F.},
      volume = {3414},
      month = mar,
      year = {2005},
      pages = {526-541},
      address = {Zurich, Switzerland},
      affiliation = {Columbia, UC Berkeley, Cadence}
    }
    

2004

  • Platform-Based and Derivative Design.
    Luca P. Carloni, Fernando De Bernardinis, Alberto L. Sangiovanni-Vincentelli, and Marco Sgroi.
    In The Industrial Information Technology Handbook, 2004.
    BibTEX 
    @incollection{carloni_platform04_iits,
      author = {Carloni, Luca P. and Bernardinis, Fernando De and Sangiovanni-Vincentelli, Alberto L. and Sgroi, Marco},
      title = {Platform-Based and Derivative Design},
      booktitle = {The Industrial Information Technology Handbook},
      series = {Industrial Electronics Series},
      publisher = {CRC Press},
      address = {Florida},
      editor = {Zurawski, R.},
      chapter = {93},
      month = nov,
      year = {2004}
    }
    
  • Heterogeneous Reactive Systems Modeling: Capturing Causality and the Correctness of Loosely Time-Triggered Architectures (LTTA).
    Albert Benveniste, Benoit Caillaud, Luca P. Carloni, Paul Caspi, and Alberto L. Sangiovanni-Vincentelli.
    In Proceedings of the International Conference on Embedded Software (EMSOFT), 2004, 220–229.
    PDF BibTEX 
    @inproceedings{benveniste_emsoft04,
      author = {Benveniste, Albert and Caillaud, Benoit and Carloni, Luca P. and Caspi, Paul and Sangiovanni-Vincentelli, Alberto L.},
      title = {Heterogeneous Reactive Systems Modeling: Capturing Causality and the Correctness of Loosely Time-Triggered Architectures (LTTA)},
      month = sep,
      year = {2004},
      booktitle = {Proceedings of the International Conference on Embedded Software (EMSOFT)},
      editor = {Buttazzo, G. and Edwards, S.},
      pages = {220-229},
      address = {Pisa, Italy},
      affiliation = {Irisa, Inria, UC Berkeley, Verimag}
    }
    
  • Causality and Scheduling Constraints in Heterogeneous Reactive Systems Modeling.
    Albert Benveniste, Benoit Caillaud, Luca P. Carloni, Paul Caspi, and Alberto L. Sangiovanni-Vincentelli.
    In Proceedings of the 2nd International Symposium on Formal Methods for Components and Objects, Nov. 4-7, 2003, 2004, 1–16.
    PDF BibTEX 
    @inproceedings{benveniste_fmco03,
      author = {Benveniste, Albert and Caillaud, Benoit and Carloni, Luca P. and Caspi, Paul and Sangiovanni-Vincentelli, Alberto L.},
      title = {Causality and Scheduling Constraints in Heterogeneous Reactive Systems Modeling},
      series = {Lecture Notes in Computer Science},
      booktitle = {Proceedings of the 2nd International Symposium on Formal Methods for Components and Objects, Nov. 4-7, 2003},
      editor = {Boer, F.S.d. and Bonsangue, M.M. and Graf, S. and de Roever, W.P.},
      pages = {1-16},
      volume = {3188},
      month = aug,
      year = {2004},
      address = {Leiden, The Netherlands},
      affiliation = {Irisa, Inria, UC Berkeley, Verimag}
    }
    
  • Benefits and Challenges of Platform-Based Design.
    Alberto L. Sangiovanni-Vincentelli, Luca P. Carloni, Fernando De Bernardinis, and Marco Sgroi.
    In Proceedings of the Design Automation Conference (DAC), 2004, 409–414.
    Invited Paper PDF BibTEX 
    @inproceedings{sangiovanni_dac04,
      author = {Sangiovanni-Vincentelli, Alberto L. and Carloni, Luca P. and Bernardinis, Fernando De and Sgroi, Marco},
      title = {Benefits and Challenges of Platform-Based Design},
      booktitle = {Proceedings of the Design Automation Conference (DAC)},
      pages = {409-414},
      month = jun,
      year = {2004},
      address = {San Diego, CA},
      affiliation = {UC Berkeley}
    }
    
  • Fault-Tolerant Deployment of Embedded Software for Cost-Sensitive Real-Time Feedback-Control Applications.
    Claudio Pinello, Luca P. Carloni, and Alberto L. Sangiovanni-Vincentelli.
    In Proceedings of the Conference on Design, Automation and Test in Europe (DATE), 2004, 1164–1169.
    PDF BibTEX 
    @inproceedings{pinello_date04,
      author = {Pinello, Claudio and Carloni, Luca P. and Sangiovanni-Vincentelli, Alberto L.},
      title = {Fault-Tolerant Deployment of Embedded Software for Cost-Sensitive Real-Time Feedback-Control Applications},
      booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe (DATE)},
      pages = {1164-1169},
      month = feb,
      year = {2004},
      address = {Paris, France},
      affiliation = {UC Berkeley}
    }
    

2003

  • Heterogeneous Reactive Systems Modeling and Correct-by-Construction Deployment.
    Albert Benveniste, Luca P. Carloni, Paul Caspi, and Alberto L. Sangiovanni-Vincentelli.
    In Proceedings of the International Conference on Embedded Software (EMSOFT), 2003, 35–50.
    PDF BibTEX 
    @inproceedings{benveniste_emsoft03,
      author = {Benveniste, Albert and Carloni, Luca P. and Caspi, Paul and Sangiovanni-Vincentelli, Alberto L.},
      title = {Heterogeneous Reactive Systems Modeling and Correct-by-Construction Deployment},
      series = {Lecture Notes in Computer Science},
      pages = {35-50},
      volume = {2855},
      month = oct,
      year = {2003},
      booktitle = {Proceedings of the International Conference on Embedded Software (EMSOFT)},
      editor = {Alur, R. and Lee, I.},
      address = {Philadelphia, PA},
      affiliation = {Irisa, Inria, UC Berkeley, Verimag}
    }
    
  • Efficient Synthesis of Networks on Chip.
    Alessandro Pinto, Luca P. Carloni, and Alberto L. Sangiovanni-Vincentelli.
    In Proceedings of the International Conference on Computer Design (ICCD), 2003, 146–151.
    PDF BibTEX 
    @inproceedings{pinto_iccd03,
      author = {Pinto, Alessandro and Carloni, Luca P. and Sangiovanni-Vincentelli, Alberto L.},
      title = {Efficient Synthesis of Networks on Chip},
      month = oct,
      year = {2003},
      booktitle = {Proceedings of the International Conference on Computer Design (ICCD)},
      pages = {146-151},
      address = {San Jose, CA},
      affiliation = {UC Berkeley}
    }
    
  • On-Chip Communication Design: Roadblocks and Avenues.
    Luca P. Carloni and Alberto L. Sangiovanni-Vincentelli.
    In Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), 2003, 75–76.
    Invited Talk - Extended Abstract PDF BibTEX 
    @inproceedings{carloni_codesIsss03,
      author = {Carloni, Luca P. and Sangiovanni-Vincentelli, Alberto L.},
      title = {On-Chip Communication Design: Roadblocks and Avenues},
      booktitle = {Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS)},
      pages = {75-76},
      month = oct,
      year = {2003},
      address = {Newport Beach, CA},
      affiliation = {UC Berkeley}
    }
    
  • A Formal Modeling Framework for Deploying Synchronous Designs on Distributed Architectures.
    Luca P. Carloni and Alberto L. Sangiovanni-Vincentelli.
    In First International Workshop on Formal Methods for Globally Asynchronous Locally Synchronous Architectures (FMGALS ’03), 2003, 11–31.
    PDF BibTEX 
    @inproceedings{carloni_fmgals03,
      author = {Carloni, Luca P. and Sangiovanni-Vincentelli, Alberto L.},
      title = {A Formal Modeling Framework for Deploying Synchronous Designs on Distributed Architectures},
      month = sep,
      year = {2003},
      booktitle = {First International Workshop on Formal Methods for Globally Asynchronous Locally Synchronous Architectures (FMGALS '03)},
      pages = {11-31},
      address = {Pisa, Italy},
      affiliation = {UC Berkeley}
    }
    
  • Combining Retiming and Recycling to Optimize the Performance of Synchronous Circuits.
    Luca P. Carloni and Alberto L. Sangiovanni-Vincentelli.
    In Proceedings of the 16th Symposium on Integrated Circuits and System Design, SBCCI 2003, 2003.
    PDF BibTEX 
    @inproceedings{carloni_sbcci03,
      author = {Carloni, Luca P. and Sangiovanni-Vincentelli, Alberto L.},
      title = {Combining Retiming and Recycling to Optimize the Performance of Synchronous Circuits},
      booktitle = {Proceedings of the 16th Symposium on Integrated Circuits and System Design, SBCCI 2003},
      month = sep,
      year = {2003},
      address = {Sao Paulo, Brazil},
      affiliation = {UC Berkeley}
    }
    
  • A Methodology for “Correct-by-Construction” Latency Insensitive Design.
    Luca P. Carloni, Kenneth L. McMillan, Alexander Saldanha, and Alberto L. Sangiovanni-Vincentelli.
    In The Best of ICCAD - 20 Years of Excellence in Computer-Aided Design, 2003, 143–158.
    Reprinted (first published in 1999) PDF BibTEX 
    @incollection{carloni_bestOfIccad03,
      author = {Carloni, Luca P. and McMillan, Kenneth L. and Saldanha, Alexander and Sangiovanni-Vincentelli, Alberto L.},
      title = {A Methodology for ``Correct-by-Construction'' Latency Insensitive Design},
      booktitle = {The Best of ICCAD - 20 Years of Excellence in Computer-Aided Design},
      publisher = {Kluwer Academic Publishers},
      editor = {{A. Kuehlmann}},
      chapter = {12},
      pages = {143-158},
      year = {2003}
    }
    

2002

  • Coping with Latency in SOC Design.
    Luca P. Carloni and Alberto L. Sangiovanni-Vincentelli.
    IEEE Micro, vol. 22, no. 5, September 2002, 24–35.
    PDF BibTEX 
    @article{carloni_ieeemicro02,
      author = {Carloni, Luca P. and Sangiovanni-Vincentelli, Alberto L.},
      title = {Coping with Latency in {SOC} Design},
      journal = {IEEE Micro},
      volume = {22},
      number = {5},
      pages = {24-35},
      month = sep,
      year = {2002},
      annote = {Special Issue on Systems on a Chip},
      affiliation = {UC Berkeley}
    }
    
  • The Art and Science of Integrated Systems Design.
    Luca P. Carloni, Fernando De Bernardinis, Alberto L. Sangiovanni-Vincentelli, and Marco Sgroi.
    In Proceedings of the 28th European Solid-State Circuits Conference, 2002, 25–36.
    Invited Paper PDF BibTEX 
    @inproceedings{carloni_esscirc02,
      author = {Carloni, Luca P. and Bernardinis, Fernando De and Sangiovanni-Vincentelli, Alberto L. and Sgroi, Marco},
      title = {The Art and Science of Integrated Systems Design},
      booktitle = {Proceedings of the 28th European Solid-State Circuits Conference},
      month = sep,
      year = {2002},
      pages = {25-36},
      address = {Florence, Italy},
      affiliation = {UC Berkeley}
    }
    
  • The Art and Science of Integrated Systems Design.
    Luca P. Carloni, Fernando De Bernardinis, Alberto L. Sangiovanni-Vincentelli, and Marco Sgroi.
    In Proceedings of the 32th European Solid-State Device Research Conference, 2002, 19–30.
    Invited Paper BibTEX 
    @inproceedings{carloni_essderc02,
      author = {Carloni, Luca P. and Bernardinis, Fernando De and Sangiovanni-Vincentelli, Alberto L. and Sgroi, Marco},
      title = {The Art and Science of Integrated Systems Design},
      booktitle = {Proceedings of the 32th European Solid-State Device Research Conference},
      month = sep,
      year = {2002},
      pages = {19-30},
      address = {Florence, Italy},
      annote = {Published also into the proceedings of ESSCIRC02},
      affiliation = {UC Berkeley}
    }
    
  • Constraint-Driven Communication Synthesis.
    Alessandro Pinto, Luca P. Carloni, and Alberto L. Sangiovanni-Vincentelli.
    In Proceedings of the Design Automation Conference (DAC), 2002, 783–788.
    PDF BibTEX 
    @inproceedings{pinto_dac02,
      author = {Pinto, Alessandro and Carloni, Luca P. and Sangiovanni-Vincentelli, Alberto L.},
      title = {Constraint-Driven Communication Synthesis},
      booktitle = {Proceedings of the Design Automation Conference (DAC)},
      pages = {783-788},
      month = jun,
      year = {2002},
      address = {New Orleans, LO},
      affiliation = {UC Berkeley}
    }
    

2001

  • Theory of Latency-Insensitive Design.
    Luca P. Carloni, Kenneth L. McMillan, and Alberto L. Sangiovanni-Vincentelli.
    IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 20, no. 9, September 2001, 1059–1076.
    PDF BibTEX 
    @article{carloni_tcad01_lip,
      author = {Carloni, Luca P. and McMillan, Kenneth L. and Sangiovanni-Vincentelli, Alberto L.},
      title = {Theory of Latency-Insensitive Design},
      journal = {IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems},
      volume = {20},
      number = {9},
      pages = {1059-1076},
      month = sep,
      year = {2001},
      affiliation = {UC Berkeley, CBL, PARADES}
    }
    
  • Modeling of Substrate Noise Injected by Digital Libraries.
    Stefano Zanella, Andrea Neviani, Enrico Zanoni, Edoardo Charbon, Paolo Miliozzi, Carlo Guardiani, Luca P. Carloni, and Alberto L. Sangiovanni-Vincentelli.
    In Proceedings of the International Symposium on Quality Electronic Design (ISQED), 2001.
    PDF BibTEX 
    @inproceedings{zanella_isqed01,
      author = {Zanella, Stefano and Neviani, Andrea and Zanoni, Enrico and Charbon, Edoardo and Miliozzi, Paolo and Guardiani, Carlo and Carloni, Luca P. and Sangiovanni-Vincentelli, Alberto L.},
      title = {Modeling of Substrate Noise Injected by Digital Libraries},
      booktitle = {Proceedings of the International Symposium on Quality Electronic Design (ISQED)},
      month = mar,
      year = {2001},
      address = {San Jose, CA},
      affiliation = {UC Berkeley}
    }
    

2000

  • Performance Analysis and Optimization of Latency Insensitive Systems.
    Luca P. Carloni and Alberto L. Sangiovanni-Vincentelli.
    In Proceedings of the Design Automation Conference (DAC), 2000, 361–367.
    PDF BibTEX 
    @inproceedings{carloni_dac00,
      author = {Carloni, Luca P. and Sangiovanni-Vincentelli, Alberto L.},
      title = {Performance Analysis and Optimization of Latency Insensitive Systems},
      booktitle = {Proceedings of the Design Automation Conference (DAC)},
      pages = {361-367},
      month = jun,
      year = {2000},
      address = {Los Angeles, CA},
      affiliation = {UC Berkeley}
    }
    
  • Negative Thinking in Branch-and-Bound: the Case of Unate Covering.
    Evguenii I. Goldberg, Luca P. Carloni, Tiziano Villa, Robert K. Brayton, and Alberto L. Sangiovanni-Vincentelli.
    IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 19, no. 3, March 2000, 281–294.
    PDF BibTEX 
    @article{goldbergTranscad,
      author = {Goldberg, Evguenii I. and Carloni, Luca P. and Villa, Tiziano and Brayton, Robert K. and Sangiovanni-Vincentelli, Alberto L.},
      title = {Negative Thinking in Branch-and-Bound: the Case of Unate Covering},
      journal = {IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems},
      volume = {19},
      number = {3},
      pages = {281-294},
      month = mar,
      year = {2000},
      affiliation = {UC Berkeley, CBL, PARADES}
    }
    

1999

  • Aura II: Combining Negative Thinking and Branch-and-Bound in Unate Covering Problems.
    Luca P. Carloni, Evguenii I. Goldberg, Tiziano Villa, Robert K. Brayton, and Alberto L. Sangiovanni-Vincentelli.
    In Tenth International Conference on Very Large Scale Integration (VLSI ’99), 1999, 346–361.
    PDF BibTEX 
    @inproceedings{carloni_vlsi99,
      author = {Carloni, Luca P. and Goldberg, Evguenii I. and Villa, Tiziano and Brayton, Robert K. and Sangiovanni-Vincentelli, Alberto L.},
      title = {Aura {II}: Combining Negative Thinking and Branch-and-Bound in Unate Covering Problems},
      booktitle = {Tenth International Conference on Very Large Scale Integration (VLSI '99)},
      editor = {Silveira, L.M. and Reis, R. and Devadas, S.},
      series = {IFIP Conference Proceedings},
      volume = {162},
      pages = {346-361},
      month = dec,
      year = {1999},
      address = {Lisboa, Portugal},
      affiliation = {UC Berkeley, Cadence Berkeley Laboratories, PARADES}
    }
    
  • A Methodology for “Correct-by-Construction” Latency Insensitive Design.
    Luca P. Carloni, Kenneth L. McMillan, Alexander Saldanha, and Alberto L. Sangiovanni-Vincentelli.
    In Proceedings of the International Conference on Computer-Aided Design (ICCAD), 1999, 309–315.
    PDF BibTEX 
    @inproceedings{carloni_iccad99,
      author = {Carloni, Luca P. and McMillan, Kenneth L. and Saldanha, Alexander and Sangiovanni-Vincentelli, Alberto L.},
      title = {A Methodology for ``Correct-by-Construction'' Latency Insensitive Design},
      booktitle = {Proceedings of the International Conference on Computer-Aided Design (ICCAD)},
      pages = {309-315},
      address = {San Jose, CA},
      month = nov,
      year = {1999},
      affiliation = {UC Berkeley, Cadence Berkeley Laboratories}
    }
    
  • Latency Insensitive Protocols.
    Luca P. Carloni, Kenneth L. McMillan, and Alberto L. Sangiovanni-Vincentelli.
    In Proceedings of the International Conference on Computer-Aided Design (ICCAD), 1999, 123–133.
    PDF BibTEX 
    @inproceedings{carloni_cav99,
      author = {Carloni, Luca P. and McMillan, Kenneth L. and Sangiovanni-Vincentelli, Alberto L.},
      title = {Latency Insensitive Protocols},
      volume = {1633},
      pages = {123-133},
      booktitle = {Proceedings of the International Conference on Computer-Aided Design (ICCAD)},
      editor = {Halbwachs, N. and Peled, D.},
      address = {Trento, Italy},
      month = jul,
      year = {1999},
      affiliation = {UC Berkeley, Cadence Berkeley Laboratories}
    }
    
  • Modeling Digital Substrate Noise Injection in Mixed-Signal ICs.
    Edoardo Charbon, Paolo Miliozzi, Luca P. Carloni, A. Ferrari, and Alberto L. Sangiovanni-Vincentelli.
    IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 18, no. 3, March 1999, 301–310.
    PDF BibTEX 
    @article{charbonTranscad,
      author = {Charbon, Edoardo and Miliozzi, Paolo and Carloni, Luca P. and Ferrari, A. and Sangiovanni-Vincentelli, Alberto L.},
      title = {Modeling Digital Substrate Noise Injection in Mixed-Signal {IC}s},
      journal = {IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems},
      volume = {18},
      number = {3},
      pages = {301-310},
      month = mar,
      year = {1999},
      affiliation = {UC Berkeley, PARADES}
    }
    

1998

  • Exact Minimization of Binary Decision Diagrams Using Implicit Techniques.
    Arlindo L. Oliveira, Luca P. Carloni, Tiziano Villa, and Alberto L. Sangiovanni-Vincentelli.
    IEEE Transactions on Computers, vol. 47, no. 11, November 1998, 1282–1296.
    PDF BibTEX 
    @article{arlindoTranscomp,
      author = {Oliveira, Arlindo L. and Carloni, Luca P. and Villa, Tiziano and Sangiovanni-Vincentelli, Alberto L.},
      title = {Exact Minimization of Binary Decision Diagrams Using Implicit Techniques},
      journal = {IEEE Transactions on Computers},
      volume = {47},
      number = {11},
      pages = {1282-1296},
      month = nov,
      year = {1998},
      affiliation = {UC Berkeley, Cadence European Laboratories / IST-INESC}
    }
    

1997

  • Negative Thinking by Incremental Problem Solving: Application to Unate Covering.
    Evguenii I. Goldberg, Luca P. Carloni, Tiziano Villa, Robert K. Brayton, and Alberto L. Sangiovanni-Vincentelli.
    In Proceedings of the International Conference on Computer-Aided Design (ICCAD), 1997, 91–98.
    PDF BibTEX 
    @inproceedings{goldberg_aura97,
      author = {Goldberg, Evguenii I. and Carloni, Luca P. and Villa, Tiziano and Brayton, Robert K. and Sangiovanni-Vincentelli, Alberto L.},
      title = {Negative Thinking by Incremental Problem Solving: Application to Unate Covering},
      booktitle = {Proceedings of the International Conference on Computer-Aided Design (ICCAD)},
      pages = {91-98},
      address = {San Jose, CA},
      month = nov,
      year = {1997},
      affiliation = {UC Berkeley, Parades, Academy of Sciences of Belarus}
    }
    
  • Trace Driven Logic Synthesis - Application to Power Minimization.
    Luca P. Carloni, Patrick C. McGeer, Alexander Saldanha, and Alberto L. Sangiovanni-Vincentelli.
    In Proceedings of the International Conference on Computer-Aided Design (ICCAD), 1997, 581–588.
    PDF BibTEX 
    @inproceedings{carloni_iccad97,
      author = {Carloni, Luca P. and McGeer, Patrick C. and Saldanha, Alexander and Sangiovanni-Vincentelli, Alberto L.},
      title = {Trace Driven Logic Synthesis - Application to Power Minimization},
      booktitle = {Proceedings of the International Conference on Computer-Aided Design (ICCAD)},
      pages = {581-588},
      address = {San Jose, CA},
      month = nov,
      year = {1997},
      affiliation = {UC Berkeley, Cadence Berkeley Laboratories}
    }
    
  • An implicit formulation for exact BDD minimization of incompletely specified functions.
    Arlindo. L. Oliveira, Luca P. Carloni, Tiziano Villa, and Alberto L. Sangiovanni-Vincentelli.
    In Eight International Conference on Very Large Scale Integration (VLSI ’97), 1997, 315–326.
    PDF BibTEX 
    @inproceedings{oliveira_vlsi97,
      author = {Oliveira, Arlindo. L. and Carloni, Luca P. and Villa, Tiziano and Sangiovanni-Vincentelli, Alberto L.},
      title = {An implicit formulation for exact {BDD} minimization of incompletely specified functions},
      booktitle = {Eight International Conference on Very Large Scale Integration (VLSI '97)},
      editor = {Reis, R. and Claesen, L.},
      month = aug,
      year = {1997},
      address = {Gramado, Brazil},
      pages = {315-326},
      affiliation = {UC Berkeley, Cadence European Laboratories / IST-INESC}
    }
    

1996

  • SUBWAVE: a Methodology for Modeling Digital Substrate Noise Injection in Mixed-Signal ICs.
    Paolo Miliozzi, Luca P. Carloni, Edoardo Charbon, and Alberto L. Sangiovanni-Vincentelli.
    In Proceedings of the Custom Integrated Circuits Conference (CICC), 1996, 385–388.
    PDF BibTEX 
    @inproceedings{miliozzi_cicc96,
      author = {Miliozzi, Paolo and Carloni, Luca P. and Charbon, Edoardo and Sangiovanni-Vincentelli, Alberto L.},
      title = {{SUBWAVE}: a Methodology for Modeling Digital Substrate Noise Injection in Mixed-Signal {IC}s},
      booktitle = {Proceedings of the Custom Integrated Circuits Conference (CICC)},
      pages = {385-388},
      month = may,
      year = {1996},
      address = {San Diego, CA},
      affiliation = {UC Berkeley}
    }